교수 상세

닫기
김창구
김창구
  • 박사
  • 화학공학전공(과)
 교직원
정보
  • 연구실 : 팔달관 501호
  • 연구실 전화 : 2389
  • 이메일 : changkoo@ajou.ac.kr
  • 연구관심분야 :
  • 홈페이지 : http://splab.ajou.ac.kr
학력
  • 2000.12 University of Houston 박사
  • 1995.08 서울대학교 석사
  • 1992.02 서울대학교 학사
경력
2001.01 - 2002.02 Novellus Systems, Inc. (San Jose, California)
연구분야
Plasma processing
Electrochemisty
Energy storage devices

								
논문 및 연구활동 연구활동(주요논문)
  1. [논문] 조성운, 김준현, 박정근, 김창구, Fabrication of uniformly arrayed single- and multi-directional slanted Cu nanorods , ECS SOLID STATE LETTERS , Vol.4 , No.11 , pp.P85 -P87 (Nov, 2015)
  2. [논문] 이혜민, 김상욱, 정경화, 강두원, 김창구, Direct and environmentally benign synthesis of manganese oxide/graphene composites from graphite for electrochemical capacitors , JOURNAL OF POWER SOURCES , Vol.281 , pp.44 -48 (May, 2015)
  3. [논문] 이혜민, 조성운, 강흥중, 권범진, 송찬주, 김창구, Abrupt change with surfactant concentration in the surface morphology of the electrodeposited manganese oxide films for electrochemical capacitors , ELECTROCHIMICA ACTA , Vol.160 , pp.50 -56 (Apr, 2015)
  4. [논문] 이혜민, 정경화, 강지구, 김재호, 김창구, 이재혁, 이희웅, 김상욱, ZrO2-SiO2 nanosheets with ultrasmall WO3 nanoparticles and their enhanced pseudocapacitance and stability , ACS APPLIED MATERIALS & INTERFACES , Vol.6 , No.22 , pp.20171 -20178 (Nov, 2014)
  5. [논문] 이혜민, 정경화, 김재호, 김창구, 이재혁, 이희웅, Yuanzhe Piao, 김상욱, One-pot synthesis of thin Co(OH)2 nanosheets on graphene and their high activity as a capacitor electrode , RSC ADVANCES , Vol.4 , pp.51619 -51623 (Oct, 2014)
국제학술논문지
  1. [논문] 고석진, 김창구, 김정민, 이동렬, 김지현, Self-Aligned Edge Contact Process forFabricating High-Performance Transition-Metal Dichalcogenide Field-Effect Transistors , ACS Nano , pp.25009 -25017 (Aug, 2024)
  2. [논문] 유상현, 선은재, 채희엽, 김창구, Effect of Discharge Gas Composition on SiC Etching in anHFE-347mmy/O2/Ar Plasma , Materials , pp.3917-1 -3917-9 (Aug, 2024)
  3. [논문] 유상현, 선은재, 황유정, 김창구, Heptafluoroisopropyl Methyl Ether as a Low Global Warming PotentialAlternative for Plasma Etching of SiC , KOREAN JOURNAL OF CHEMICAL ENGINEERING , pp.1307 -1310 (May, 2024)
  4. [논문] 유상현, 양현석, 전동준, 김창구, 채희엽, Controlling bowing and narrowing in SiO2 contact-hole etch profiles using heptafluoropropyl methyl ether as an etchant with low global warming potential , Coatings , pp.1452 -1452 (Aug, 2023)
  5. [논문] 김용재, 김창구, 강호진, 조성민, 채희엽, 하희주, Plasma atomic layer etching of molybdenum with surface fluorination , APPLIED SURFACE SCIENCE , pp.157309 -157309 (Aug, 2023)
  6. [논문] 김용재, 김창구, 강호진, 채희엽, Plasma atomic layer etching of SiO2 and Si3N4 with low global warming C4H3F7O isomers , ACS Sustainable Chemistry & Engineering , pp.6136 -6142 (Apr, 2023)
  7. [논문] 강성운, 김철호, 김승주, 김유권, 김창구, 유상현, 이다영, 김희경, Plasma surface modification of 3Y-TZP at low and atmospheric pressures with different treatment times , INTERNATIONAL JOURNAL OF MOLECULAR SCIENCES , pp.7663 -7663 (Apr, 2023)
  8. [논문] 김용재, 유상현, 강호진, 김서은, 김창구, 채희엽, Low Global Warming C4H3F7O Isomers for Plasma Etching of SiO2 and Si3N4 Films , ACS SUSTAINABLE CHEMISTRY & ENGINEERING , pp.10537 -10546 (Aug, 2022)
  9. [논문] 김창구, 강주섭, 복준수, 안동근, 장용우, Human trial for the effect of plasma-activated water spray on vaginal cleaning in patients with bacterial vaginosis , medical sciences , pp.331 -339 (Jun, 2022)
  10. [논문] 유상현, 이유종, 채희엽, 김창구, Plasma Etching of SiO2 Contact Holes Using Hexafluoroisopropanol and C4F8 , COATINGS , pp.6791 -6798 (May, 2022)
  11. [논문] 유상현, 김준현, 김창구, Plasma etching of SiO2 contact hole using perfluoropropyl vinyl ether and perfluoroisopropyl vinyl ether , KOREAN JOURNAL OF CHEMICAL ENGINEERING = KOREAN JOURNAL OF CHEMICAL ENGINEERING , pp.63 -68 (Jan, 2022)
  12. [논문] 김준현, 유상현, 김창구, Surface Texturing of Si with Periodically Arrayed Oblique Nanopillars to Achieve Antireflection , MATERIALS , pp.3801 -3809 (Jan, 2021)
  13. [논문] 김준현, 박진수, 김창구, SiO2 etching in inductively coupled plasmas using heptafluoroisopropyl methyl ether and 1,1,2,2-tetrafluoroethyl 2,2,2-trifluoroethyl ether , APPLIED SURFACE SCIENCE , pp.144787-1 -144787-8 (Apr, 2020)
  14. [논문] 김준현, 박정근, 김창구, Electrical resistivity of Ni–Fe wires coated with Sn using low-pressure chemical vapor deposition , COATINGS , pp.317-1 -317-9 (Mar, 2020)
  15. [논문] 김준현, 김창구, Si3N4 etch rates at various ion-incidence angles in high-density CF4, CHF3, and C2F6 plasmas , KOREAN JOURNAL OF CHEMICAL ENGINEERING = KOREAN JOURNAL OF CHEMICAL ENGINEERING , pp.374 -379 (Feb, 2020)
  16. [논문] 김준현, 박진수, 신용선, 김창구, Atmospheric-pressure floating electrode-dielectric barrier discharge with flexible electrodes: Effect of conductor shapes , KOREAN JOURNAL OF CHEMICAL ENGINEERING = KOREAN JOURNAL OF CHEMICAL ENGINEERING , pp.1371 -1376 (Aug, 2019)
  17. [논문] 김수현, 김준현, 박진수, 김창구, 김지현, Auto-masked surface texturing of kerf-loss free silicon wafers using hexafluoroisopropanol in a capacitively coupled plasma etching system , ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY , Vol.8 , No.4 , pp.Q76 -Q79 (May, 2019)
  18. [논문] 김준현, 박진수, 김창구, Angular dependence of SiO2 etching in plasmas containing heptafluoropropyl methyl ether. , THIN SOLID FILMS , Vol.669 , pp.262 -268 (Jan, 2019)
  19. [논문] 김준현, 박진수, 김창구, Plasma etching of SiO2 using heptafluoropropyl methyl ether and perfluoropropyl vinyl ether , ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY , Vol.7 , No.11 , pp.Q218 -Q221 (Nov, 2018)
  20. [논문] 김준현, 이혜민, 이혜민, Gaoxiang Wu, Shu Yang, 권도경, 김재경, 김창구, 윤현식, Clustering and self-recovery of slanted hydrogel micropillars , ADVANCED MATERIALS INTERFACES , pp.1801141 -1801147 (Oct, 2018)
  21. [논문] 김수현, 김준현, 김지현, 김창구, Reducing the optical reflectance of kerf-loss free silicon wafers via auto-masked CF4/O2 plasma etch , ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY , Vol.7 , No.5 , pp.88 -91 (May, 2018)
  22. [논문] 오수연, 김창구, 김지현, High responsivity β-Ga2O3 metal-semiconductor-metal solar-blind photodetectors with ultra-violet transparent graphene electrodes , ACS PHOTONICS , pp.1123 -1128 (Mar, 2018)
  23. [논문] 김준현, 박정근, 이강택, 김창구, Control of the electrical resistivity of Ni-Cr wires using low pressure chemical vapor deposition of tin , APPLIED SURFACE SCIENCE , Vol.429 , pp.134 -137 (Jan, 2018)
  24. [논문] 고경범, 김창구, 김용재, 채희엽, Quasi Atomic Layer Etching of SiO2 using Surface Fluorination for Surface Cleaning , JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A , pp.01B106-1 -01B106-5 (Jan, 2018)
  25. [논문] 김준현, 조성운, 김창구, Angular dependence of Si3N4 etching in C4F6/CH2F2/O2/Ar plasmas , CHEMICAL ENGINEERING & TECHNOLOGY , Vol.40 , No.12 , pp.2251 -2256 (Dec, 2017)
  26. [논문] 김준현, 박창진, 조성운, 채희엽, 김창구, Angular dependences of SiO2 etch rates at different bias voltages in CF4, C2F6, and C4F8 plasmas , THIN SOLID FILMS , pp.43 -48 (Sep, 2017)
  27. [논문] 이혜민, 김상욱, 정경화, 김창구, Low-temperature direct synthesis of mesoporous vanadium nitrides for electrochemical capacitors , APPLIED SURFACE SCIENCE , pp.194 -199 (Apr, 2017)
  28. [논문] 장해규, 이학승, 이혼영, 김창구, 채희엽, Sensitivity enhancement of dielectric plasma etching endpoint detection by optical emission spectra with modified K-means cluster analysis , IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING , Vol.30 , No.1 , pp.17 -22 (Feb, 2017)
  29. [논문] 조성운, 김준현, 이혜민, 채희엽, 김창구, Superhydrophobic Si surfaces having microscale rod structures prepared in a plasma etching system , SURFACE & COATINGS TECHNOLOGY , pp.82 -86 (Nov, 2016)
  30. [논문] 김준현, 이혜민, 강두원, 이경미, 김창구, Effect of oxygen flow rate on the electrical and optical characteristics of dopantless tin oxide films fabricated by LPCVD , KOREAN JOURNAL OF CHEMICAL ENGINEERING , Vol.33 , No.9 , pp.2711 -2715 (Sep, 2016)
  31. [논문] 조성운, 김준현, 박정근, 이혜민, 김창구, Fabrication of Slanted Cu Nanopillars with Uniform Arrays , NANOMATERIALS AND NANOTECHNOLOGY , Vol.6 , pp.1 -5 (Mar, 2016)
  32. [논문] 강태경, 김창구, 박진모, 엄기주, 이도창, 장호찬, 이강택, Minimizing the fluorescence quenching caused by uncontrolled aggregation of CdSe/CdS core/shell quantum dots for biosensor applications , SENSORS AND ACTUATORS B-CHEMICAL , Vol.222 , pp.871 -878 (Jan, 2016)
  33. [논문] 김준현, 이혜민, 조성운, 강두원, 백창용, 이경미, 김창구, Electrical, structural, and morphological characteristics of dopantless tin oxide films prepared by low pressure chemical vapor deposition , SCIENCE OF ADVANCED MATERIALS , Vol.8 , No.1 , pp.117 -121 (Jan, 2016)
  34. [논문] 조성운, 김준현, 박정근, 김창구, Fabrication of uniformly arrayed single- and multi-directional slanted Cu nanorods , ECS SOLID STATE LETTERS , Vol.4 , No.11 , pp.P85 -P87 (Nov, 2015)
  35. [논문] Thanh-Truc Pham, 김창구, Chinh Nguyen-Huy, Thuy-Duong Nguyen-Phan, 손태환, 이현준, 신은우, Cu-doped TiO2/reduced graphene oxide thin-film photocatalysts: Effect of Cu content upon methylene blue removal in water , CERAMICS INTERNATIONAL , Vol.41 , No.9 , pp.11184 -11193 (Nov, 2015)
  36. [논문] 조성운, 김상인, 김준현, 신은우, 김창구, Reduction in the diameter of contact holes with a high anisotropy and aspect ratio , ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY , Vol.4 , No.7 , pp.P226 -P231 (Jul, 2015)
  37. [논문] Thuy-Duong Nguyen-Phan, 김창구, Chinh Nguyen Huy, 신은우, Facile microwave-assisted synthesis and controllable architecture of three-dimensional nickel titanate , CRYSTENGCOMM , Vol.17 , pp.4562 -4574 (Jun, 2015)
  38. [논문] 이혜민, 김상욱, 정경화, 강두원, 김창구, Direct and environmentally benign synthesis of manganese oxide/graphene composites from graphite for electrochemical capacitors , JOURNAL OF POWER SOURCES , Vol.281 , pp.44 -48 (May, 2015)
  39. [논문] 이혜민, 조성운, 강흥중, 권범진, 송찬주, 김창구, Abrupt change with surfactant concentration in the surface morphology of the electrodeposited manganese oxide films for electrochemical capacitors , ELECTROCHIMICA ACTA , Vol.160 , pp.50 -56 (Apr, 2015)
  40. [논문] 김창구, 정창영, 김상인, Slot-embedded photonic-crystal resonator with enhanced modal confinement , OPTICS LETTERS , Vol.40 , No.4 , pp.554 -557 (Feb, 2015)
  41. [논문] 이혜민, 정경화, 강지구, 김재호, 김창구, 이재혁, 이희웅, 김상욱, ZrO2-SiO2 nanosheets with ultrasmall WO3 nanoparticles and their enhanced pseudocapacitance and stability , ACS APPLIED MATERIALS & INTERFACES , Vol.6 , No.22 , pp.20171 -20178 (Nov, 2014)
  42. [논문] 이혜민, 정경화, 김재호, 김창구, 이재혁, 이희웅, Yuanzhe Piao, 김상욱, One-pot synthesis of thin Co(OH)2 nanosheets on graphene and their high activity as a capacitor electrode , RSC ADVANCES , Vol.4 , pp.51619 -51623 (Oct, 2014)
  43. [논문] 조성운, 김준현, 강두원, 이강택, 김창구, Single- and Multi-Directional Slanted Plasma Etching of Silicon under Practical Plasma Processing Conditions , ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY , Vol.3 , No.11 , pp.Q215 -Q220 (Sep, 2014)
  44. [논문] 이혜민, 이강택, 김창구, Electrodeposition of manganese-nickel oxide films on a graphite sheet for electrochemical capacitor applications , MATERIALS , Vol.7 , No.1 , pp.265 -274 (Jan, 2014)
  45. [논문] Rajagopal, 이혜민, 이강택, 김창구, Hydrothermal synthesis of one-dimensional tungsten oxide nanostructures using cobalt ammonium sulfate as a structure-directing agent , KOREAN JOURNAL OF CHEMICAL ENGINEERING , Vol.30 , No.10 , pp.1833 -1835 (Oct, 2013)
  46. [논문] 장해규, 김창구, 남재욱, 채희엽, Real-Time Endpoint Detection of Small Exposed Area SiO2 Films in Plasma Etching Using Plasma Impedance Monitoring with Modified Principal Component Analysis , PLASMA PROCESSES AND POLYMERS , Vol.10 , No.10 , pp.850 -856 (Oct, 2013)
  47. [논문] Rajagopal, 김창구, Djaoued, Khyzhun, Nataraj, Robichaud, Controlled synthesis of MoO3 microcrystals by subsequent calcination of hydrothermally grown pyrazine-MoO3 nanorod hybrids and their photodecomposition properties , MATERIALS CHEMISTRY AND PHYSICS , Vol.141 , No.1 , pp.383 -392 (Aug, 2013)
  48. [논문] 윤철상, 김창구, 김영주, 김현창, 이도창, 홍현국, 황대현, 이강택, High luminescence efficiency white light emitting diodes based on surface functionalized quantum dots dispersed in polymer matrices , COLLOIDS AND SURFACES A-PHYSICOCHEMICAL AND ENGINEERING ASPECTS , Vol.428 , pp.86 -91 (Apr, 2013)
  49. [논문] 이혜민, 채희엽, 김창구, Electroless deposition of NiMoP films using alkali-free chemicals for capping layers of copper interconnections , KOREAN JOURNAL OF CHEMICAL ENGINEERING , Vol.29 , No.9 , pp.1259 -1265 (Sep, 2012)
  50. [논문] 조성운, 문상흡, 이진관, 채희엽, 김창구, Angular dependences of SiO2 etch rates in C4F6/O2/Ar and C4F6/CH2F2/O2/Ar plasmas , JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A , pp.051301-1 -051301-6 (Jul, 2012)
  51. [논문] S.G.Kandalkar, 서승혜, 이혜민, 이강택, 김창구, Preparation and characterization of the electrodeposited Ni-Co oxide thin films for electrochemical capacitors , KOREAN JOURNAL OF CHEMICAL ENGINEERING , Vol.28 , No.6 , pp.1464 -1467 (Jun, 2011)
  52. [논문] Kandalkar, 서승혜, 이혜민, 김창구, 이강택, Cobalt-nickel composite films synthesized by chemical bath deposition method as an electrode material for supercapacitors , JOURNAL OF MATERIALS SCIENCE , pp.2977 -2981 (May, 2011)
  53. [논문] Kandalkar, 이혜민, 채희엽, 김창구, Structural, morphological, and electrical characteristics of the electrodeposited cobalt oxide electrode for supercapacitor applications , MATERIALS RESEARCH BULLETIN , Vol.46 , pp.48 -51 (Jan, 2011)
  54. [논문] 남궁윤미, 손영선, 이혜민, 이강택, 김창구, Characteristics of electrodeposited CoWP capping layers using alkali-metal-free precursors , KOREAN JOURNAL OF CHEMICAL ENGINEERING , pp.1596 -1600 (Sep, 2010)
  55. [논문] 이기태, 김창구, Wu Zhijian, 이덕규, 이호섭, 이강택, Comparison of amine-functionalized mesoporous silica particles for ibuprofen delivery , KOREAN JOURNAL OF CHEMICAL ENGINEERING , Vol.27 , No.4 , pp.1333 -1337 (Jul, 2010)
  56. [논문] Kandalkar, 김창구, D.S.Dhawale, C.D.Lokhande, Chemical synthesis of cobalt oxide thin film electrode for supercapacitor application , SYNTHETIC METALS , pp.1299 -1302 (Jun, 2010)
  57. [논문] 노민호, 김창구, 김태훈, 이호섭, 주상우, 이강택, Fluorescence Quenching Caused by Aggregation of Water- Soluble CdSe Quantum Dots , COLLOIDS AND SURFACES A-PHYSICOCHEMICAL AND ENGINEERING ASPECTS , Vol.359 , No.1-3 , pp.39 -44 (Apr, 2010)
  58. [논문] 이진관, 김창구, 이승행, 장일용, 문상흡, Mechanism of sidewall necking and bowing in the plasma etching of high aspect-ratio contanct holes , JOURNAL OF THE ELECTROCHEMICAL SOCIETY , Vol.157 , No.3 , pp.D142 -D146 (Jan, 2010)
  59. [논문] 김창구, 지정민, 김일욱, 김해원, 엄평용, 우상호, Formation and characterization of thin silicon dioxide films obtained by inductively-coupled high-density plasmas using a dual rotated spiral antenna system , ECS Transcations , Vol.25 , No.6 , pp.173 -178 (Oct, 2009)
  60. [논문] 문상흡, 김창구, 민재호, 이승행, 이진관, 장일용, Oblique-directional plasma etching of Si using a Faraday cage , Journal of the Electrochemical Society , Vol.156 , No.7 , pp.222 -225 (Jul, 2009)
  61. [논문] 김창구, Anthony, Mahapatra, 이상민, 이혜민, Effect of titanium ion concentration on electrodeposition of nanostructured TiNi films , Journal of Materials Science , Vol.44 , No.14 , pp.3731 -3735 (Jul, 2009)
  62. [논문] 김창구, 우상호, 이혜민, 김일욱, 엄평용, Film Properties of Nitrogen-Doped Polycrystalline Silicon for Advanced Gate Material , Korean Journal of Chemical Engineering , Vol.26 , No.3 , pp.824 -827 (May, 2009)
  63. [논문] 문상흡, 김창구, 이승행, 이진관, 장일용, Cyclic deposition/etching process to etch a bowing-free SiO2 contact hole , Journal of the Electrochemical Society , Vol.156 , No.8 , pp.269 -274 (May, 2009)
  64. [논문] 김창구, 신치범, 윤형진, Dulal, Electrodeposition of CoWP film V. Structural and morphological characterisations , Applied Surface Science , Vol.255 , No.11 , pp.5795 -5801 (Mar, 2009)
  65. [논문] 채희엽, 김창구, 김치정, 정동근, 황진하, Argon and nitrogen plasma surface treatments of polyimide films for electroless copper plating , Journal of the Korean Physical Society , Vol.54 , No.2 , pp.621 -627 (Feb, 2009)
  66. [논문] 김창구, 김태호, 이형무, Dulal, 성준용, Development of an alkali-metal-free bath for electroless deposition of Co-W-P capping layers for copper interconnections , Journal of Alloys and Compounds , Vol.467 , No.1-2 , pp.370 -375 (Jan, 2009)
  67. [논문] 김창구, 남궁윤미, 이형무, 이혜민, 김일욱, 채희엽, Dependence of Etch Rates of Silicon Substrates on the Use of C4F8 and C4F6 Plasmas in the Deposition Step of the Bosch Process , Journal of Vacuum Science and Technology B , Vol.27 , No.1 , pp.33 -40 (Jan, 2009)
  68. [논문] 김창구, 신치범, 윤형진, Dulal, Characterisation of electrodeposited Co-W-P amorphous coatings on carbon steel , Electrochimica Acta , Vol.54 , No.2 , pp.370 -375 (Dec, 2008)
  69. [논문] 김창구, 김태호, 신치범, Dulal, Electrodeposition of CoWP Film IV. Effect of Applied Potential and Current Density , Journal of Alloys and Compounds , Vol.261 , No.1-2 , pp.382 -388 (Aug, 2008)
  70. [논문] 김창구, 김태호, 박창한, Dulal, 채희엽, Optimisation of Process Parameters for Electroless Plating of Co-W-P Capping Layers from an Alkali-Metal-Free Bath , Surface and Coatings Technology , Vol.202 , No.19 , pp.4861 -4867 (Jun, 2008)
  71. [논문] 이상민, 김기홍, 김창구, 김희철, 이혜민, 이황운, 임한조, john kiran anthony, s. kumar mahapatra, Particle size-dependent giant nonlinear absorption in nanostructured Ni-Ti alloys , Optics Express , Vol.16 , No.15 , pp.11193 -11202 (Jun, 2008)
  72. [논문] 김창구, 권혁규, 김현정, 유재석, 이형무, 김일욱, Comparison of Deep Silicon Etching Using SF6/C4F8 and SF6/C4F6 Plasmas in the Bosch Process , Journal of Vacuum Science and Technology B , Vol.26 , No.2 , pp.576 -581 (Mar, 2008)
  73. [논문] 김창구, S.M.S.I. Dulal, 신치범, 윤형진, Electrodeposition of CoWP Film II. Effect of Electrolyte Concentration , Journal of Applied Electrochemistry , Vol.38 , No.1 , pp.83 -91 (Jan, 2008)
  74. [논문] 김창구, S.M.S.I.Dulal, 신치범, 윤형진, Electrodeposition of CoWP Film III. Effect of pH and Temperature , Electrochimica Acta , Vol.53 , No.2 , pp.934 -943 (Dec, 2007)
  75. [논문] 김창구, S.M.S.I.DULAL, 신치범, 윤형진, Electrodeposition of CoWP Film , Journal of the Electrochemical Society , Vol.154 , No.10 , pp.D494 -D501 (Oct, 2007)
  76. [논문] 김창구, 우상호, 김일욱, 김해원, 엄평용, 이동근, 조성길, 최형수, Structural and Morphological Properties of Nitrogen-Doped Polysilicon for Advanced Gate Material , ECS Transactions , Vol.11 , No.4 , pp.601 -606 (Oct, 2007)
  77. [논문] 채희엽, 김창구, 김형섭, 장성기, 정동근, Simultaneous Oxygen Plasma and Thermal Treatments of an ITO Surface to Improve the Electrical Characteristics of Organic Light-Emitting Diodes , Journal of the Korean Physical Society , Vol.51 , No.3 , pp.956 -962 (Sep, 2007)
  78. [논문] 김창구, 김태호, 신치범, 윤형진, 문상흡, 민재호, Comparison of Atomic Scale Etching of poly-Si in Inductively Coupled Ar and He Plasmas , Korean Journal of Chemical Engineering , Vol.24 , No.4 , pp.670 -673 (Jul, 2007)
  79. [논문] 김창구, 신치범, 김일욱, 류현규, 이강택, A Comparative Study on a High Aspect Ratio Contact Hole Etching in UFC- and PFC-Containing Plasmas , Microelectronics Journal , Vol.38 , No.1 , pp.125 -129 (Jan, 2007)
  80. [논문] 문상흡, 김창구, 민재호, 이진관, Interactive relationships between sidewall and bottom etch rates, as-affected by sidewall angle, during SiO2 etching in a CHF3 plasma , Journal of Vacuum Science & Technology B , Vol.24 , No.4 , pp.1746 -1754 (Jul, 2006)
  81. [논문] 김창구, 신치범, 김일욱, 류현규, Effects of Wafer Cleaning on the Interconncet Structure and Its Electrical Properties during the Al Dual Damascene Process for the Fabrication of Sub-100nm Memory Devices , Journal of Chemical Engineering of Japan , Vol.38 , No.11 , pp.922 -928 (Nov, 2005)
  82. [논문] 김창구, Ion Dynamics in Plasma Processing for the Fabrication of Ultrafine Structures , Korean Journal of Chemical Engineering , Vol.22 , No.5 , pp.762 -769 (Sep, 2005)
  83. [논문] 문상흡, 김창구, 민재호, 이진관, Deep Etching of Silicon with Smooth Sidewalls by an Improved Gas-Chopping Process Using a Faraday Cage and a High Bias Voltage , Journal of Vacuum Science and Technology B , Vol.23 , No.4 , pp.1405 -1411 (Jul, 2005)
  84. [논문] 문상흡, 김창구, 민재호, 이겨레, 이진관, Improvement of SiO2 Pattern Profiles Etched in CF4 and SF6 Plasmas by Using a Faraday Cage and Neutral Beams , Surface and Coatings Technology , Vol.193 , pp.75 -80 (Apr, 2005)
  85. [논문] 김창구, 신치범, Plasma Molding over Surface Topography: Measurement of Energy and Angular Distributions of Ions Extracted through a Large Hole , Thin Solid Films , Vol.475 , pp.24 -31 (Mar, 2005)
  86. [논문] 문상흡, 김창구, 민재호, 이겨레, 이진관, Effect of Sidewall Properties on the Bottom Microtrench during SiO2 Etching in a CF4 Plasma , Journal of Vacuum Science and Technology B , Vol.23 , No.2 , pp.425 -432 (Mar, 2005)
  87. [논문] 이강택, 김창구, Zhijian Wu, 김중현, 안익성, 주현우, Design of Doped Hybrid Xerogels for a Controlled Release of Brilliant Blue FCF , Journal of Non-Crystalline Solids , Vol.342 , pp.46 -53 (Aug, 2004)
  88. [논문] 문상흡, 김창구, 민재호, 이겨레, 이진관, Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas , Journal of Vacuum Science and Technology A , Vol.22 , No.3 , pp.661 -669 (May, 2004)
  89. [논문] 문상흡, 김창구, 민재호, 이겨레, 이진관, Dependences of bottom and sidewall etch rates on bias voltage and source power during the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas , Journal of Vacuum Science and Technology B , Vol.22 , No.3 , pp.893 -901 (May, 2004)
  90. [논문] 김창구, Analysis of Langmuir Probe Data in High Density Plasmas , Korean Journal of Chemical Engineeirng , Vol.21 , No.3 , pp.746 -751 (May, 2004)
  91. [논문] 김창구, 김일욱, 류현규, 박성기, 이병석, Effect of CH2F2 Addition on a High Aspect Ratio Contact Hole Etching in a C4F6/O2/Ar Plasma , Electrochemical and Solid-State Letters , Vol.6 , No.9 , pp.C126 -C129 (Sep, 2003)
  92. [논문] 문상흡, 김창구, 류정현, 조병옥, 황성욱, Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher , Korean Journal of Chemical Engineering , Vol.20 , No.2 , pp.407 -413 (Feb, 2003)
  93. [논문] 김창구, Demetre J.Economou, Plasma molding over surface topography: Energy and angular distribution of ions extracted out of large holes , Journal of Applied Physics , Vol.91 , No.5 , pp.2594 -2603 (Mar, 2002)
국내학술논문지
  1. [논문] 권혁규, 유상현, 김준현, 김창구, 고밀도 C4F8 플라즈마에서 증착된 불화탄소막의 광학적 및 전기적 특성 , KOREAN CHEMICAL ENGINEERING RESEARCH(화학공학) , pp.254 -259 (May, 2021)
  2. [논문] 김준현, 박창진, 김창구, 유연전극을 이용한 대기압 부유전극 유전체 장벽 방전 플라즈마 , Korean Chemical Engineering Research , Vol.57 , No.3 , pp.432 -437 (Jun, 2019)
  3. [논문] 이혜민, 김준현, 조성운, 김창구, 백금 나노입자 전착의 전기화학적 분석 , KOREAN CHEMICAL ENGINEERING RESEARCH(화학공학) , Vol.53 , No.5 , pp.540 -544 (Oct, 2015)
  4. [논문] 지정민, 조성운, 김창구, Bosch 공정에서 Si 식각속도와 식각프로파일에 대한 Ar 첨가의 영향 , KOREAN CHEMICAL ENGINEERING RESEARCH(화학공학) , Vol.51 , No.6 , pp.755 -759 (Dec, 2013)
  5. [논문] 김창구, 김태호, 윤형진, Electroless Plating of Co-Alloy Thin Films Using Alkali-Free Chemicals , The Korean Journal of Chemical Engineering Research , Vol.45 , No.6 , pp.633 -637 (Dec, 2007)
국제학술발표
  1. [학술회의] 유상현, 김창구, Exploring green alternatives for plasma etching of silicon carbide , 51st International Conference on Metallurgical Coatings & Thin Films (ICMCTF 2025) (May, 2025)
  2. [학술회의] 유상현, 김창구, Plasma etching of SiO2 using fluorinated ethers as low-GWP alternatives to PFCs , ACS Spring 2025 Meeting (Mar, 2025)
  3. [학술회의] 유상현, 김창구, 김지현, Fluorinated alcohol-based plasma etching to reduce PFC emissions , ISPlasma 2025 (Mar, 2025)
  4. [학술회의] 조인경, 김창구, Comparison of SiO2 Etching Characteristics of Pentafluoropropanol and Perfluoropropyl Carbinol as Low-GWP Alternatives to Perfluoro Compounds , ISPlasma 2025 (Mar, 2025)
  5. [학술회의] 김준영, 김창구, Heptafluoroisopropyl Trifluoromethyl Ketone as a Low Global Warming Potential Alternative for Plasma Etching , ISPlasma 2025 (Mar, 2025)
  6. [학술회의] 김민욱, 김창구, Plasma Etching of SiO2 Using C5F10O as a Low GWP Alternative to PFC , ISPlasma 2025 (Mar, 2025)
  7. [학술회의] 김민욱, 김창구, Plasma etching of SiO2 using low global warming potential hexafluorobenzene , Korean International Semiconductor Conference on Manufacturing Technology 2024 (Nov, 2024)
  8. [학술회의] 유상현, 김창구, Fluorinated ethers as low-GWP solutions for plasma etching of SiO2 , Korean International Semiconductor Conference on Manufacturing Technology 2024 (Nov, 2024)
  9. [학술회의] 유상현, 김창구, Plasma etching of silicon carbide using low-GWP heptafluoroisopropyl methyl ether , Korean International Semiconductor Conference on Manufacturing Technology 2024 (Nov, 2024)
  10. [학술회의] 전동준, 김창구, Characteristics of hexafluoroisopropanol plasma as low-GWP alternative in SiO2 contact-hole etching , 19th International Conference on Plasma Surface Engineering (PSE 2024) (Sep, 2024)
  11. [학술회의] 유상현, 김창구, Cyclic etching process for reducing contact-hole diameter using low-GWP etchants , 19th International Conference on Plasma Surface Engineering (PSE 2024) (Sep, 2024)
  12. [학술회의] 양현석, 김창구, Exploring environmentally friendly alternatives using heptafluoropropyl methyl ether and pentafluoropropanol in SiO2 contact-hole etching , 19th International Conference on Plasma Surface Engineering (PSE 2024) (Sep, 2024)
  13. [학술회의] 김창구, 유상현, Control of SiO2 etch profiles using heptafluoropropyl methyl ether as an etchant with low global warming potential , The 13th International Conference on Plasma Etch and Strip for Microtechnology (PESM) and the 2nd International Workshop on Plasma Cryo Etching Processes (PlaCEP) (Jun, 2024)
  14. [학술회의] 유상현, 김창구, The use of low-GWP heptafluoroisopropyl methyl ether for plasma etching of SiC , The 9th International Conference on Microelectronics and Plasma Technology (ICMAP) (Jan, 2024)
  15. [학술회의] 유상현, 김창구, Green alternatives in SiC etching to reduce GWP impact , Korean International Semiconductor Conference on Manufacturing Technology 2023 (Nov, 2023)
  16. [학술회의] 유상현, 김창구, Innovative cyclic etching process for high-aspect-ratio SiO2 features using low-GWP heptafluoropropyl methyl ether , Korean International Semiconductor Conference on Manufacturing Technology 2023 (Nov, 2023)
  17. [학술회의] 유상현, 김창구, A cyclic etching process using HFE-347mcc3 as a lower-GWP alternative to perfluorocarbons for high-aspect-ratio SiO2 Features , 244th Electrochemical Society Meeting (Oct, 2023)
  18. [학술회의] 양현석, 김창구, 유상현, SiC etching using heptafluoroisopropyl methyl ether plasmas , 25th International Symposium on Plasma Chemistry (ISPC25) (May, 2023)
  19. [학술회의] 유상현, 김창구, Control of SiO2 contact-hole etch profiles using low-GWP heptafluoropropyl methyl ether , 25th International Symposium on Plasma Chemistry (ISPC25) (May, 2023)
  20. [학술회의] 전동준, 김창구, 유상현, Cyclic etching of SiO2 contact holes using heptafluoropropyl methyl ether plasmas , 25th International Symposium on Plasma Chemistry (ISPC25) (May, 2023)
  21. [학술회의] 유상현, 김창구, The Use of Fluorinated Ethers for Plasma Etching of SiO2 , Korean International Semiconductor Conference on Manufacturing Technology 2022 (Nov, 2022)
  22. [학술회의] 유상현, 김창구, SiO2 contact hole etching using heptafluoropropyl methyl ether plasmas , AVS 68th International Symposium (Nov, 2022)
  23. [학술회의] 유상현, 김창구, In search of etchants with low global warming potential for plasma etching , 한국화학공학회 2022년 가을 학술대회 (Oct, 2022)
  24. [학술회의] 유상현, 김창구, HFE-347mcc3를 이용한 cyclic plasma etching , 한국화학공학회 2022년 가을 학술대회 (Oct, 2022)
  25. [학술회의] 유상현, 김창구, Plasma etching of SiO2 using a mixture of fluorinated ether and fluorinated alcohol , Materials Challenges in Alternative & Renewable Energy (MCARE 2022) , pp.345 -345 (Aug, 2022)
  26. [학술회의] 유상현, 김창구, High aspect ratio SiO2 contact hole etching using hydrofluoroether plasmas , Materials Challenges in Alternative & Renewable Energy (MCARE 2022) , pp.345 -345 (Aug, 2022)
  27. [학술회의] 이유종, 김창구, Effects of O2 addition on etching process in heptafluoropropyl methyl ether plasmas , 한국화학공학회 2021년 가을 총회 및 국제 학술대회 (Oct, 2021)
  28. [학술회의] 유상현, 김창구, Angular dependence of etch rates in fluoro-ether/O2/Ar plasmas , 한국화학공학회 2021년 가을 총회 및 국제 학술대회 (Oct, 2021)
  29. [학술회의] 선은재, 김창구, Angular dependence of SiO2 etch rates in hydrofluoroalcohol-containing plasmas , 한국화학공학회 2021년 가을 총회 및 국제 학술대회 (Oct, 2021)
  30. [학술회의] 김준현, 김창구, 유상현, Angular dependence of Si3N4 etch rates in various fluorocarbon plasmas , The 8th International Conference on Microelectronics and Plasma Technology (ICMAP) & The 9th International Symposium on Functional Materials (ISFM) (Jan, 2021)
  31. [학술회의] 유상현, 김창구, 김준현, Plasma etching of SiO2 using hydrofluoroethers , The 8th International Conference on Microelectronics and Plasma Technology (ICMAP) & The 9th International Symposium on Functional Materials (ISFM) (Jan, 2021)
  32. [학술회의] 유상현, 김창구, 김준현, 김수현, 김지현, Reduction in the optical reflectance of kerf-loss free silicon wafers using CF4/O2 plasmas , The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020) (Nov, 2020)
  33. [학술회의] 유상현, 김창구, Hydrofluoroether 플라즈마를 이용한SiO2 식각 , 한국화학공학회 2020년도 가을 총회 및 국제학술대회 (Oct, 2020)
  34. [학술회의] 박진수, 김창구, 김준현, The use of hexafluoroisopropanol as an alternative to perfluoro compounds for plasma etching of SiO2 , Materials Challenges in Alternative & Renewable Energy (MCARE 2019) (Aug, 2019)
  35. [학술회의] 박진수, 김창구, 김준현, Plasma etching of SiO2 using hexafluoroisopropanol , 24th International Symposium on Plasma Chemistry (ISPC 24) (Jun, 2019)
  36. [학술회의] 김준현, 김창구, 박진수, Fabrication of slanted Si pillars for Antireflective surfaces using plasma etching , 24th International Symposium on Plasma Chemistry (ISPC 24) (Jun, 2019)
  37. [학술회의] 김준현, 김창구, Surface texturing with slanted silicon nanopillars to reduce its optical reflectivity , 21st International Conference on Advanced Materials and Nanotechnology (Sep, 2018)
  38. [학술회의] 박진수, 김창구, 김준현, Angular dependence of SiO2 etch rates in hexafluoroisopropanol plasmas , 7th International Conference on Microelectronics and Plasma Technology (Jul, 2018)
  39. [학술회의] 김준현, 김창구, 박진수, Plasma etching of SiO2 perfluorinated and partially fluorinated fluoro ethers , 7th International Conference on Microelectronics and Plasma Technology (Jul, 2018)
  40. [학술회의] 김창구, The use of HFE-347mcc3 plasmas as an alternative to perfluorocarbons to reduce global warming potential during SiO2 contact hole etching , 9th International Conference on Environmental Engineering and Applications (ICEEA 2018) (Jul, 2018)
  41. [학술회의] 박진수, 김창구, 김준현, Angular Dependence of Si3N4 Etch rates in C4F6/CH2F2/O2/Ar Plasmas , 10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma 2018) (Mar, 2018)
  42. [학술회의] 신용선, 김창구, 김준현, Fabrication of antireflective Si surfaces using slanted plasma etching , 10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma 2018) (Mar, 2018)
  43. [학술회의] 김가연, 김창구, 김준현, A dielectric barrier discharge system for flexible substrates , 10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma 2018) (Mar, 2018)
  44. [학술회의] 이혜민, 김창구, One-step chemical precipitation of vanadium nitrides for electrochemical capacitors , 191st International Conference on Chemical and Biochemical Engineering (ICCBE) (Feb, 2018)
  45. [학술회의] 김준현, 김창구, Antireflective surfaces with Si nanopillars at controllable angles , 9th International Conference on Nanomaterials - Research & Application (NANOCON) (Oct, 2017)
  46. [학술회의] 김준현, 김창구, Use of flexible electrodes for a dielectric barrier discharge , The 10th International Conference on Plasma Science and Applications (ICPSA) 2017 (Oct, 2017)
  47. [학술회의] 김준현, 김창구, Fabrication of antireflection slanted Si pillars using slanted plasma etching , 7th Central European Symposium on Plasma Chemistry (Sep, 2017)
  48. [학술회의] 김준현, 김창구, 박창진, Fabrication of superhydrophobic Si surfaces in a plasma etching system , 7th Central European Symposium on Plasma Chemistry (Sep, 2017)
  49. [학술회의] 박창진, 김창구, Development of characteristics of a dielectric barrier discharge system having flexible electrodes , 7th Central European Symposium on Plasma Chemistry (Sep, 2017)
  50. [학술회의] 박창진, 김창구, 김준현, Low pressure chemical vapor deposition of tin on Ni-Cr wires for fusible resistors , Global Conference on Engineering and Applied Science (2017 GCEAS) (Jul, 2017)
  51. [학술회의] 박창진, 김창구, 김준현, 박정근, 강두원, 이경미, Control of the electrical resistivity of Ni-alloy wires by chemical vapor deposition , Materials Challenges in Alternative & Renewable Energy (MCARE 2017) (Feb, 2017)
  52. [학술회의] 박창진, 김창구, Mechanism of changes in etch rates of silicon dioxide with ion-incident angle during fluorocarbon plasma etching , 5th International Conference on System Modeling and Optimization (ICSMO 2017) (Feb, 2017)
  53. [학술회의] 김준현, 김창구, Effect of discharge gas on the angular dependence of SiO2 etch rates in various fluorocarbon plasmas , The 6th International Conference on Microelectronics and Plasma Technology (ICMAP 2016) (Sep, 2016)
  54. [학술회의] 박창진, 김창구, Advanced cyclic etching to reduce the diameter of SiO2 contact holes , 15th International Conference on Plasma Surface Engineering (PSE 2016) (Sep, 2016)
  55. [학술회의] 박정근, 김창구, Angular dependence of SiO2 etch rates during fluorocarbon plasma etching , 15th International Conference on Plasma Surface Engineering (PSE 2016) (Sep, 2016)
  56. [학술회의] 김준현, 김창구, Fabrication of single- and multi-directional slanted profiles of Si using plasma etching , 15th International Conference on Plasma Surface Engineering (PSE 2016) (Sep, 2016)
  57. [학술회의] 박창진, 김창구, Changes in the angular dependence of SiO2 etch rates with bias voltage in a C4F8 plasma , 15th International Conference on Plasma Surface Engineering (PSE 2016) (Sep, 2016)
  58. [학술회의] 김준현, 김창구, Use of conventional plasma etching system for the fabrication of superhydrophobic Si surfaces , 15th International Conference on Plasma Surface Engineering (PSE 2016) (Sep, 2016)
  59. [학술회의] 이혜민, 김창구, 정황보, Environmentally benign synthesis of graphene based binary metal oxides for electrochemical capacitors , 18th Topical Meeting of the International Society of Electrochemistry (Mar, 2016)
  60. [학술회의] 이혜민, 김창구, 정황보, A facile synthesis and electrochemical analysis of vanadium nitride for electrochemical capacitors , 18th Topical Meeting of the International Society of Electrochemistry (Mar, 2016)
  61. [학술회의] 김준현, 김창구, 조성운, Fabrication of slanted nanostructures using a Faraday cage system , 6th International Conference on Nanotechnology (Nanotechnology 2015) (Nov, 2015)
  62. [학술회의] 김준현, 김창구, 조성운, Fabrication of slanted silicon profiles using plasma etching , International Conference on Engineering, Technology, and Applied Science (ICETA) 2015 (Apr, 2015)
  63. [학술회의] 박정근, 김창구, 김준현, 옥승수, 조성운, Effect of fluorocarbon discharge gas on the angular dependence of SiO2 etch rates , 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (Mar, 2015)
  64. [학술회의] 김준현, 김창구, 조성운, Multi-directional slanted plasma etching of silicon , 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (Mar, 2015)
  65. [학술회의] 김준현, 김창구, 조성운, 강두원, 백창용, 이경미, Dopantless tin oxide films prepared by LPCVD , Materials Challenges in Alternative & Renewable Energy (MCARE 2015) , pp.122 -122 (Feb, 2015)
  66. [학술회의] 김준현, 김창구, 조성운, 강두원, 백창용, 이경미, Effect of deposition temperature on electrical properties of dopantless tin oxide films , Materials Challenges in Alternative & Renewable Energy (MCARE 2015) , pp.225 -225 (Feb, 2015)
  67. [학술회의] 김준현, 김창구, 백창용, 조성운, 강두원, 이경미, Electrical properties and surface morphologies of SnO2 films prepared by LPCVD , 11th International Conference on Nano-Molecular Electronics , pp.100 -100 (Dec, 2014)
  68. [학술회의] 조성운, 김창구, Oblique plasma etching: Fabrication of single-and multi-directional etch profiles , 11th International Conference on Nano-Molecular Electronics , pp.104 -104 (Dec, 2014)
  69. [학술회의] 이혜민, 김창구, 김상욱, 정경화, Pseudocapacitive performance of porous ZrO2-SiO2 sheets doped with WO3 nanoparticles , Chiba University-Ajou University Symposium 2014 , pp.25 -25 (Dec, 2014)
  70. [학술회의] 김창구, Multi-directional slanted plasma etching , Chiba University-Ajou University Symposium 2014 , pp.12 -12 (Dec, 2014)
  71. [학술회의] 조성운, 김창구, 김준현, 강두원, 백창용, 이경미, Low pressure chemical vapor deposition of dopantless tin oxide films , 11th Korea-Japan Symposium on Materials & Interface , pp.37 -37 (Nov, 2014)
  72. [학술회의] 김준현, 김창구, 조성운, 강두원, 백창용, 이경미, Low pressure chemical vapor deposition of SnO2 films: Temperature dependence of electrical properties , 11th Korea-Japan Symposium on Materials & Interface , pp.36 -36 (Nov, 2014)
  73. [학술회의] 남궁윤미, 김창구, 이혜민, Addition of TTAB as a surfactant for the electrodeposition of cobalt oxide films , 65th Annual Meeting of the International Society of Electrochemistry (Sep, 2014)
  74. [학술회의] 이혜민, 김창구, Effects of TTAB concentration on the electrodeposited manganese oxide for electrochemical capacitors , 65th Annual Meeting of the International Society of Electrochemistry (Sep, 2014)
  75. [학술회의] 이혜민, 김창구, 김상욱, 정경화, A facile synthesis and electrochemical analysis of cobalt hydroxide/graphene nanocomposites , 65th Annual Meeting of the International Society of Electrochemistry (Sep, 2014)
  76. [학술회의] 남궁윤미, 김창구, 이혜민, Electrodeposition and electrochemical analysis of ternary metal oxides for supercapacitors , 65th Annual Meeting of the International Society of Electrochemistry (Sep, 2014)
  77. [학술회의] 조성운, 김창구, Slanted plasma etching: Fabrication of three-dimensional nanostructures , 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (Mar, 2014)
  78. [학술회의] 김준현, 김창구, 조성운, Dopantless control over the electrical properties of tin oxide films by LPCVD , 2014 Kyoto-Ajou Joint Symposium on Energy Science (Feb, 2014)
  79. [학술회의] 이혜민, 김창구, 김상욱, 정경화, Supercapacitive properties of metal oxide-graphene nanocompoites , 2013 Materials Research Society Fall Meeting (Dec, 2013)
  80. [학술회의] 이혜민, 김창구, 김상욱, 정경화, One-step synthesis of Co(OH)2/graphene nanocomposites from graphite for electrochemical supercapacitors , 224th Electrochemical Society Meeting (Oct, 2013)
  81. [학술회의] 이혜민, 김창구, 김상욱, 정경화, Fabrication of metal oxide/graphene nanocomposites for electrochemical capacitors , 224th Electrochemical Society Meeting (Oct, 2013)
  82. [학술회의] 김준현, 김창구, 조성운, Effect of the substrate temperature on the electrical conductivity of tin oxide films by LPCVD , TACT 2013 International Thin Films Conference (Oct, 2013)
  83. [학술회의] 조성운, 김창구, 김준현, Characteristics of electrical conductivity of undopped tin oxide films prepared by low pressure chemical vapor deposition , TACT 2013 International Thin Films Conference (Oct, 2013)
  84. [학술회의] 조성운, 김창구, 김준현, Angular dependence of etch rates and etch selectivity of Si3N4 in C4F6/Ar/O2/CH2F2 plasmas , Dry Process Symposium 2013 , pp.137 -138 (Aug, 2013)
  85. [학술회의] 이혜민, 김창구, Electrodeposition of single and binary manganese oxides for electrochemical capacitors , 9th World Congress of Chemical Engineering (Aug, 2013)
  86. [학술회의] 김준현, 김창구, Kinetic analysis of tin oxide films prepared by low pressure chemical vapor deposition , 9th World Congress of Chemical Engineering (Aug, 2013)
  87. [학술회의] 조성운, 김창구, Mechanism of SiO2 etching in C4F6/Ar/O2/CH2F2 plasmas , 9th World Congress of Chemical Engineering (Aug, 2013)
  88. [학술회의] 조성운, 김창구, 김준현, 이혜민, Fabrication of three-dimensional nanostructures using a high-density plasma , 9th World Congress of Chemical Engineering (Aug, 2013)
  89. [학술회의] 조성운, 김창구, 김준현, Angular dependence of SiO2 etch rates in C4F6/CH2F2/O2/Ar plasmas , 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma 2013) (Jan, 2013)
  90. [학술회의] 이혜민, 김창구, Electrodeposition and electrochemical analysis binary metal oxides for supercapacitors , Materials Research Society 2012 Fall Meeting (Nov, 2012)
  91. [학술회의] 이진관, 김창구, 문상흡, Plasma etching for the fabrication of nanoscale patterns: A new cyclic process for high aspect-ratio SiO2 contact holes , UKC 2012 (Aug, 2012)
  92. [학술회의] 이형무, 김창구, Development of environmentally benign deep silicon etching using C4F6 plasmas in the deposition step of the Bosch process , UKC 2012 (Aug, 2012)
  93. [학술회의] 홍다혜, 김창구, 이혜민, Effect of pH and temperature on the electrodeposition of Co-Mn oxide thin films , 220th Electrochemical Society Meeting (Oct, 2011)
  94. [학술회의] 이혜민, 김창구, Electrodeposition of Ni-Mn oxides thin films on a carbon sheet for Electrochemical supercapacitors , 220th Electrochemical Society Meeting (Oct, 2011)
  95. [학술회의] 서승혜, 김창구, 이혜민, Electrodeposition of cobalt-manganese oxide thin films for supercapacitor electrodes , 14th Asian Chemical Congress 2011 (Sep, 2011)
  96. [학술회의] 이혜민, 김창구, Preparation of characterization of electrodeposited manganese-nickel oxide films for electrochemical supercapacitors , 14th Asian Chemical Congress 2011 (Sep, 2011)
  97. [학술회의] Muthukumar, Rajagopal, 김창구, Ganesan, Optical and Electrical Properties of Pure and Aluminium - Doped Zinc Oxide Thin Film Nanostructures Synthesized by Electrodeposition Technique , International Conference on Nanoscience and Nanotechnology (ICNN 2011) (Jul, 2011)
  98. [학술회의] 조성운, 김창구, Angular dependence of Si3N4 etch rates and SiO2-to-Si3N4 etch selectivity in C4F6/Ar/O2/CH2F2 plasmas , 38th IEEE International Conference on Plasma Science (Jun, 2011)
  99. [학술회의] 김준현, 김창구, 조성운, Control of the contact hole diameter using inductively coupled fluorocarbon and hydrocarbon plasmas , 38th IEEE International Conference on Plasma Science (Jun, 2011)
  100. [학술회의] 이혜민, 김창구, 서승혜, Characterization of Electrolessly Plated NiMoP Thin Films Using Alkali-Metal-Free Chemicals , The 61st Annual Meeting of the International Society of Electrochemistry (Sep, 2010)
  101. [학술회의] 서승혜, 김창구, Kandalkar, 이혜민, Electrodeposition of Cobalt Oxide Electrode for Supercapacitor Applications , The 61st Annual Meeting of the International Society of Electrochemistry (Sep, 2010)
  102. [학술회의] 손영선, 김창구, 이혜민, Effect of pH and Temperature of the Electrolyte on the Electrodeposition of CoWP Films Using Alkali-Metal-Free Precursors , 217th Electrochemical Society Meeting (Apr, 2010)
  103. [학술회의] 조성운, 김창구, 문상흡, 이진관, Effect of CH2F2 addition on the angular dependence of Si3N4 etch rates and SiO2-to-Si3N4 etch selectivity in a C4F6/Ar/O2 plasma , 2nd international symposium on advanced plasma science and its applications for nitrides and nanomaterials (ISPlasma 2010) (Mar, 2010)
  104. [학술회의] 지정민, 김창구, 신우식, Improvement of RIE lag in high aspect ratio Si etching , 2nd international symposium on advanced plasma science and its applications for nitrides and nanomaterials (Mar, 2010)
  105. [학술회의] 김창구, 손영선, 이혜민, Formation and characterization of nanostructured TiNi films fabricated using an electrochemical method , 216th Electrochemical Society , Vol.x , No.x , pp.x -x (Oct, 2009)
  106. [학술회의] 김창구, 신우식, 조성운, Dulal, Fabrication and characterization of NiMoP thin films fabricated using an electrochemical method , 216th Electrochemical Society , Vol.x , No.x , pp.x -x (Oct, 2009)
  107. [학술회의] 김창구, 신우식, 지정민, Dulal, Structural and morphological characteristics of the electrodeposited CoWP thin films , 216th Electrochemical Society , Vol.x , No.x , pp.x -x (Oct, 2009)
  108. [학술회의] 김창구, 손영선, 이혜민, Use of alkali-metal-free chemicals in the electrodeposition of CoWP capping layers for Cu interconnection , 216th Electrochemical Society , Vol.x , No.x , pp.x -x (Oct, 2009)
  109. [학술회의] 김창구, 지정민, 김일욱, 김해원, 엄평용, 우상호, Formation and characterization of thin silicon dioxide films obtained by inductively coupled high-density plasmas using a dual rotated spiral antenna system , 216th Electrochemical Society , Vol.x , No.x , pp.x -x (Oct, 2009)
  110. [학술회의] 문상흡, 김창구, 이진관, A Novel Etching Process to Obtain a Bowing-Free SiO2 Contact Hole , ICMAP 2008 , pp.180 -180 (Aug, 2008)
  111. [학술회의] 김창구, 권혁규, 남궁윤미, 이혜민, Characteristics of Etch Profiles Processed with the Bosch Process Using SF6/C4F8 and SF6/C4F6 Plasmas , ICMAP 2008 , pp.179 -179 (Aug, 2008)
  112. [학술회의] 김창구, 박병훈, 신치범, Dulal, 윤형진, Improvement of Corrosion Protective Properties Using Electrodeposited CoWP Coating , 213th Electrochemical Society Meeting , Vol.x , No.x , pp.x -x (May, 2008)
  113. [학술회의] 김창구, 남궁윤미, 신치범, 이혜민, Dulal, Effect of Electrolyte Concentration on Electrodeposition of NiMoP Thin Films as Capping Layers , 213th Electrochemical Society Meeting , Vol.x , No.x , pp.x -x (May, 2008)
  114. [학술회의] 김창구, 권혁규, 박병훈, 우상호, Mahapatra, Control of the Electrical and Optical Properties of Plasma Polymerized Fluorocarbon Films , 213th Electrochemical Society Meeting , Vol.x , No.x , pp.x -x (May, 2008)
  115. [학술회의] 김창구, 남궁윤미, Mahapatra, 김일욱, Investigation of the Magnetic Properties of Electrodeposited CoWP Films , 213th Electrochemical Society Meeting , Vol.x , No.x , pp.x -x (May, 2008)
  116. [학술회의] 김창구, 권혁규, 이혜민, Dulal, Electroless Deposition of CoWP Capping Layers Using Alkali-Metal-Free Chemicals , 213th Electrochemical Society Meeting , Vol.x , No.x , pp.x -x (May, 2008)
  117. [학술회의] 김창구, 우상호, 김일욱, 김해원, 엄평용, 이동근, 조성길, 최형수, Structural and Morphological Properties of Nitrogen Doped Polysilicon for Advanced Gate Material , 212th Meeting of the Electrochemical society , Vol.x , No.x , pp.x -x (Oct, 2007)
  118. [학술회의] 김창구, S.M.S.I.Dulal, 남궁윤미, 윤형진, 전원진, Effect of Deposition Modes on Composition and Microstructure of Electrodeposited CoWP Film , 212th Meeting of the Electrochemical society , Vol.x , No.x , pp.x -x (Oct, 2007)
  119. [학술회의] 김창구, S.M.S.I.Dulal, 김태호, 박창한, 신치범, 윤형진, Electrodeposition of CoWP Thin Films on Copper Stacked on Silicon Wafer , 212th Meeting of the Electrochemical society , Vol.x , No.x , pp.x -x (Oct, 2007)
  120. [학술회의] 김창구, 권혁규, 이형무, 김일욱, 우상호, Gas-Chopping Etching of Silicon Using SF6/C4F8 and SF6/C4F6 Plasmas , 6th Asian-European International Conference on Plasma Surface Engineering , Vol.x , No.x , pp.28 -28 (Sep, 2007)
  121. [학술회의] 문상흡, 김창구, 이승행, 이진관, 장일용, Angular Dependence of Si3N4 Etch Rates and SiO2-TO-Si3N4 Etch Selectivity in a C4F8/Ar Plasma , 6th Asian-European International Conference on Plasma Surface Engineering , Vol.x , No.x , pp.96 -96 (Sep, 2007)
  122. [학술회의] 김창구, 김태호, 윤형진, Electrical and Chemical Characteristics of CoWP Capping Layers Deposited on Cu by Electroless Plating , 2007 MRS Spring Meeting , Vol.x , No.x , pp.66 -66 (Apr, 2007)
  123. [학술회의] 김창구, S.M.S.I.Dulal, 김태호, 신치범, 윤형진, Development of a Bath and Optimization of Electrochemical Parameters for the Deposition of Platinum Nanoparticles on Graphite , 2007 MRS Spring Meeting , Vol.x , No.x , pp.615 -615 (Apr, 2007)
  124. [학술회의] 김창구, 박창한, 이형무, Environment-Friendly Plasma Etching of High Aspect Ratio Silicon by a Gas-Chopping Process , 2007 MRS Spring Meeting , Vol.x , No.x , pp.51 -52 (Apr, 2007)
  125. [학술회의] 김창구, 박창한, 유재석, 이형무, Measurement of Thermal Properties of Thin Films Using the Photothermal Deflection and Photothermal Displacement Methods , 2007 MRS Spring Meeting , Vol.x , No.x , pp.764 -765 (Apr, 2007)
  126. [학술회의] 김창구, S.M.S.I.Dulal, 신치범, 전원진, Controlling Size, Shape, and Distribution of Platinum Nanoparticles Electrodeposited on Carbon Supports , 2007 MRS Spring Meeting , Vol.x , No.x , pp.614 -614 (Apr, 2007)
  127. [학술회의] 김창구, 김상인, 김태호, 박창한, 신치범, 윤형진, 이형무, Etching of Poly-Si with Atomic Scale Accuracy in Inductively Coupled Ar and He Plasmas , 8th International Conference on Solid-State and Integrated-Circuit Technology , Vol.x , No.x , pp.x -x (Oct, 2006)
  128. [학술회의] 문상흡, 김창구, 이승행, 이진관, 장일용, Angular Dependence of the SiO2-to-Si3N4 Etch Selectivity in C4F6/O2/Ar/CH2F2 Plasmas , International Union for Vacuum Science, Technique, and Applications (IUVSTA) Executive Council Meeti , Vol.x , No.x , pp.x -x (Sep, 2006)
  129. [학술회의] 김창구, 김태호, 박창한, 신치범, 윤형진, 이형무, 김일욱, Etch Characteristics and Mechanisms in Atomic Scale Etching of Poly-Silicon , 209th Meeting of the Electrochemical Society , Vol.x , No.x , pp.x -x (May, 2006)
  130. [학술회의] 신치범, 김창구, 류홍석, 윤형진, 전상훈, Modeling of the Charge-Discharge Behavior of a 12-V Automotive Lead-Acid Battery , 209th Meeting of the Electrochemical Society , Vol.x , No.x , pp.x -x (May, 2006)
  131. [학술회의] 김창구, 김태호, 박창한, 신치범, 윤형진, 김일욱, Dependences of the Ion Mass and the Ion Incident Angle on Etch Rates in Atomic Scale Etching of Poly-Si , 14th Gaseous Electronics Meeting , Vol.x , No.x , pp.x -x (Feb, 2006)
  132. [학술회의] 김창구, 신치범, 김일욱, 문상흡, 민재호, Atomic Scale Etching of Poly-Si in Inductively Coupled Ar and He Plasmas , AVS 52nd International Symposium , Vol.x , No.x , pp.x -x (Oct, 2005)
  133. [학술회의] 김창구, 신치범, 김일욱, 류현규, Aluminum Dual Damascene Process for the Fabrication of Sub-100nm Memory Devices , 207th Meeting of the Electrochemical Society , Vol.x , No.x , pp.x -x (May, 2005)
  134. [학술회의] 신치범, 김창구, 이대훈, 김성태, 정승면, Modeling of the Dynamics Behavior of a 12-V Automotive Lead-Acid Battery , 207th Meeting of the Electrochemical Society , Vol.x , No.X , pp.X -X (May, 2005)
  135. [학술회의] 김창구, 신치범, 김일욱, 류현규, High Aspect Ratio Contact Hole Etching in C4/F6/O2/Ar/CH2F2 and c-C4/F8/O2/Ar/CH2F2 Plasmas , American Vacuum Society 51st International Symposium (Nov, 2004)
  136. [학술회의] 김창구, 신치범, 김일욱, 류현규, Aluminum dual damascene metallization for sub-100 nm memory devices , 6th Japan-Korea Symposium on Materials and Interfaces (Oct, 2004)
  137. [학술회의] 김창구, 신치범, 김일욱, 유현구, The Use of C4F6 Plasmas as an Alternative to Perfluorocarbons for High Aspect Ratio Contact Hole Etching , 206th Meeting of the Electrochemical Society , Vol.N/A , No.N/A , pp.N/A -N/A (Oct, 2004)
  138. [학술회의] 신치범, 김창구, 전상훈, 서동진, 조병원, 조원일, Modeling of a Capacitive Deionization Process , 205th Meeting of the Electrochemical Society (May, 2004)
  139. [학술회의] 문상흡, 김창구, 민재호, 이겨레, 이진관, Improvement of Anisotropy and Aspect Ratio of a Pattern Etched in Bosch Process by Using a Faraday Cage , American Vacuum Society 50th International Symposium , Vol.1 , No.1 , pp.72 -72 (Nov, 2003)
  140. [학술회의] 신치범, 김창구, 신현철, 김홍건, 이종협, 최경희, A Study on the Pollutant Fate Based on Multimedia Urban Model , Annual Meeting of American Institute of Chemical Engineers (Nov, 2003)
  141. [학술회의] 김창구, 신치범, Demetre J.Economou, Plasma Molding over Surface Topography: Measurement of Energy and Angular Distributions of Ions Extracted through a Large Hole , 4th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2003) , pp.306 -306 (Oct, 2003)
  142. [학술회의] 문상흡, 김창구, 민재호, 이겨레, 이진관, Improvement of SiO2 Pattern Profile Etched in Ar and CF4 Plasmas by Using a Faraday Cage and Neutralized Ions , 4th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2003) , pp.334 -334 (Oct, 2003)
국내학술발표
  1. [학술회의] 유상현, 김창구, Low-temperature SiO2 contact hole etching using C4F8 plasmas , 제32회 한국반도체학술대회 (Feb, 2025)
  2. [학술회의] 김민욱, 김창구, Heptafluoroisopropyl trifluoromethyl ketone을 이용한 SiO2와 Si3N4의 plasma 식각 , 제32회 한국반도체학술대회 (Feb, 2025)
  3. [학술회의] 양현석, 김창구, 고 GWP 가스 대체용 HFE-347mmy와 PFP 혼합 플라즈마를 이용한 SiO2 식각 , 한국화학공학회 2024년 봄 학술대회 (Apr, 2024)
  4. [학술회의] 전동준, 김창구, 온실가스 감축을 위한 친환경 fluoro-alcohol 플라즈마 식각 특성 , 한국화학공학회 2024년 봄 학술대회 (Apr, 2024)
  5. [학술회의] 황유정, 김창구, 친환경 식각용 가스로서 HFE-347mcc3와 HFE-347mmy 혼합 플라즈마를 이용한 SiO2 식각 , 한국화학공학회 2024년 봄 학술대회 (Apr, 2024)
  6. [학술회의] 유상현, 김창구, Heptafluoropropyl methyl ether를 이용해 콘택홀 직경감소가 가능한 순환식 플라즈마 식각 , 제66회 한국진공학회 동계정기학술대회 (Feb, 2024)
  7. [학술회의] 전동준, 김창구, Fluoro-ether 혼합 plasma의 SiO2 식각 특성: 혼합 비율에 따른 식각 메커니즘 , 제66회 한국진공학회 동계정기학술대회 (Feb, 2024)
  8. [학술회의] 양현석, 김창구, Fluoro alcohol 플라즈마를 이용한 SiO2 contact hole etching: 플라즈마 chemistry에 따른 식각 profile , 제66회 한국진공학회 동계정기학술대회 (Feb, 2024)
  9. [학술회의] 양현석, 김창구, 유상현, Fluoro-alcohol Plasma에서 방전 가스 Chemistry에 따른 SiO2 식각 특성 비교 , 제31회 한국반도체학술대회 (Jan, 2024)
  10. [학술회의] 유상현, 김창구, Contact-hole Reduction Using Advanced Cyclic Etching Process in Heptafluoropropyl Methyl Ether Plasmas , 제31회 한국반도체학술대회 (Jan, 2024)
  11. [학술회의] 전동준, 김창구, 유상현, Perfluoroalkyl Vinyl Ether의 분자구조에 따른 SiO2 식각 특성 , 제31회 한국반도체학술대회 (Jan, 2024)
  12. [학술회의] 전동준, 김창구, CF4와 C2F6 플라즈마에서 Si3N4 식각속도의 각도 의존성 , 한국화학공학회 2023년 봄 학술대회 (Apr, 2023)
  13. [학술회의] 양현석, 김창구, 저 지구온난화지수 물질을 이용한 SiC 플라즈마 식각 , 한국화학공학회 2023년 봄 학술대회 (Apr, 2023)
  14. [학술회의] 유상현, 김창구, SiO2 contact hole 식각 시 mask 손상 보호 , 한국화학공학회 2023년 봄 학술대회 (Apr, 2023)
  15. [학술회의] 유상현, 김창구, Cyclic Etch Process Using Low-GWP Etchants , 제30회 한국반도체학술대회 (Feb, 2023)
  16. [학술회의] 유상현, 김창구, High Aspect Ratio SiO2 Contact Hole Etching Using Low-GWP Materials , 제30회 한국반도체학술대회 (Feb, 2023)
  17. [학술회의] 유상현, 김창구, 순환식 식각 공정을 이용한 고종횡비 SiO₂ 식각 , 64회 한국진공학회 동계정기학술대회 (Feb, 2023)
  18. [학술회의] 유상현, 김창구, Low-GWP 물질을 이용한 SiC 식각 , 64회 한국진공학회 동계정기학술대회 (Feb, 2023)
  19. [학술회의] 선은재, 김창구, Etching of SiO2 in inductively coupled plasmas using heptafluoropropyl methyl ether and perfluoropropyl carbinol , 한국화학공학회 2022년 봄 학술대회 (Apr, 2022)
  20. [학술회의] 유상현, 김창구, SiO2 contact hole etching using heptafluoropropyl methyl ether/pentafluoropropanol/O2/Ar plasmas , 한국화학공학회 2022년 봄 학술대회 (Apr, 2022)
  21. [학술회의] 이유종, 김창구, Etching of SiO2 in an inductively coupled plasma using hexafluoroisopropanol , 한국화학공학회 2022년 봄 학술대회 (Apr, 2022)
  22. [학술회의] 이유종, 김창구, SiO2 contact hole etching in fluoro-alcohol plasmas , 제62회 한국진공학회 동계정기학술대회 (Feb, 2022)
  23. [학술회의] 선은재, 김창구, Oxide etching characteristics using heptafluoroisopropyl methyl ether and perfluoropropyl carbinol plasmas , 제62회 한국진공학회 동계정기학술대회 , pp.141 -141 (Feb, 2022)
  24. [학술회의] 유상현, 김창구, High aspect ratio contact hole etching using heptafluoropropyl methyl ether and pentafluoropropanol plasmas , 제62회 한국진공학회 동계정기학술대회 (Feb, 2022)
  25. [학술회의] 유상현, 김창구, Etch characteristics of SiO2 using fluorinated ether plasmas , 제29회 한국반도체학술대회 (Jan, 2022)
  26. [학술회의] 유상현, 김창구, Plasma etching of Sio2 contact holes using heptafluoropropyl methyl ether plasmas , 제61회 한국진공학회 하계정기학술대회 (Aug, 2021)
  27. [학술회의] 이유종, 김창구, SiO2 contact hole etching in heptafluoropropyl methyl ether and pentafluoropropanol plasmas , 제61회 한국진공학회 하계정기학술대회 (Aug, 2021)
  28. [학술회의] 유상현, 김창구, Angular dependence of etch rates in fluoro-ether/fluoro-alcohol/Ar plasmas , 제61회 한국진공학회 하계정기학술대회 (Aug, 2021)
  29. [학술회의] 선은재, 김창구, Angular dependence of SiO2 etch rates in fluoroether-containg plasmas , 제61회 한국진공학회 하계정기학술대회 (Aug, 2021)
  30. [학술회의] 선은재, 김창구, 김준현, Effect of mixing ratio in SiO2 etching using hydrofluoroether plasmas , 한국화학공학회 2021년도 봄 학술대회 (Apr, 2021)
  31. [학술회의] 김창구, 이유종, 김준현, Etching characeteristics of SiO2 in heptafluoropropyl methyl ether and pentafluoropropanol plasmas , 한국화학공학회 2021년도 봄 학술대회 (Apr, 2021)
  32. [학술회의] 유상현, 김창구, 김준현, Etching characteristics of fluoroether/fluoroalcohol/Ar plasmas , 한국화학공학회 2021년도 봄 학술대회 (Apr, 2021)
  33. [학술회의] 유상현, 김창구, 김준현, Angular dependence of SiO2 etch rates during plasma etching in perfluoroalkyl vinyl ethers , 제60회 한국진공학회 동계정기학술대회 (Feb, 2021)
  34. [학술회의] 유상현, 김창구, Plasma etching of SiO2 using perfluoroalkyl vinyl ethers , 제28회 한국반도체학술대회 (Jan, 2021)
  35. [학술회의] 김준현, 김창구, 유상현, 채희엽, Plasma etching of SiO2 using hydrofluoroethers and fluoroalcohols , 제28회 한국반도체학술대회 (Jan, 2021)
  36. [학술회의] 김준현, 김창구, SiO2 etching using hydrofluroethers: the use of low global warming potential materials for plasma etching , 제27회 한국반도체학술대회 (Feb, 2020)
  37. [학술회의] 박진수, 김창구, 김준현, Plasma etching of SiO2 using perfluoropropyl vinyl ether , 한국화학공학회 2019년 봄 학술대회 (Apr, 2019)
  38. [학술회의] 신용선, 김창구, 김준현, Control of ozone concentration in dielectric barrier discharge system , 한국화학공학회 2019년 봄 학술대회 (Apr, 2019)
  39. [학술회의] 박진수, 김창구, 김준현, Angular dependences of SiO2 etching in CF4, C2F6, and C4F8 plasmas , 제26회 한국반도체학술대회 (Feb, 2019)
  40. [학술회의] 박진수, 김창구, 김준현, Mechanism of SiO2 etching in heptafluoropropyl methyl ether plasmas , 13th Koea-Japan Symposium on Materials and Interfaces (Nov, 2018)
  41. [학술회의] 신용선, 김창구, 김준현, The use of TiO2 nanoparticles to reduce ozone concentration in dielectric barrier discharge system , 한국화학공학회 2018년 가을 학술대회 (Oct, 2018)
  42. [학술회의] 박진수, 김창구, 김준현, Plasma etching of SiO2 using hexafluoroisopropanol , 한국화학공학회 2018년 가을 학술대회 (Oct, 2018)
  43. [학술회의] 김창구, 박진수, 김준현, Use of heptafluoroisopropyl methyl ether for plasma etching of SiO2 , 한국화학공학회 2018년 봄 학술대회 (Apr, 2018)
  44. [학술회의] 김가연, 김창구, Reduction of O3 concentration in a dielectric barrier discharge , 한국화학공학회 2018년 봄 학술대회 (Apr, 2018)
  45. [학술회의] 박진수, 김창구, 김준현, Plasma etching of SiO2 using low-GWP etchants , 한국화학공학회 2018년 봄 학술대회 (Apr, 2018)
  46. [학술회의] 박창진, 김창구, Electroless plating of copper on PET without using a seed layer , 한국화학공학회 2017년 가을 총회 및 학술대회 (Oct, 2017)
  47. [학술회의] 박창진, 김창구, Effect of dielectric materials on characteristics of a dielectric barrier discharge system , 한국화학공학회 2017년 가을 총회 및 학술대회 (Oct, 2017)
  48. [학술회의] 김준현, 김창구, Plasma etching for the fabrication of slanted Si rods as low reflection surfaces , 한국화학공학회 2017년도 봄 학술대회 (Apr, 2017)
  49. [학술회의] 김준현, 김창구, Superhydrophobic Si surfaces with plasma-treated microrods , 한국화학공학회 2017년도 봄 학술대회 (Apr, 2017)
  50. [학술회의] 박창진, 김창구, 김준현, LPCVD of tin on Ni-based wires to control their electrical resistivity , 한국화학공학회 2017년도 봄 학술대회 (Apr, 2017)
  51. [학술회의] 박창진, 김창구, Dependence of SiO2 etch rates on the ion-incident angle at various bias voltages in a C4F8 plasma , 한국화학공학회 2017년도 봄 학술대회 (Apr, 2017)
  52. [학술회의] 박창진, 김창구, 이혜민, Fabrication of flexible electrodes by a palladium-free electroless plating , 한국화학공학회 2016년 가을 학술대회 (Oct, 2016)
  53. [학술회의] 박창진, 김창구, Control of the contact hole diameter by advanced cyclic etching , 한국화학공학회 2016년 가을 학술대회 (Oct, 2016)
  54. [학술회의] 박창진, 김창구, 이혜민, Electroless plating of copper on a PET film for flexible electrodes , 한국화학공학회 2016년 봄 총회 및 학술대회 (Apr, 2016)
  55. [학술회의] 박정근, 김창구, 김준현, 강두원, 이경미, Surface treatment of Ni-Cr wires for fusible resistors , 한국화학공학회 2016년 봄 총회 및 학술대회 (Apr, 2016)
  56. [학술회의] 박창진, 김창구, 박정근, Fabrication and characterization of double structured tin oxide films , 한국화학공학회 2016년 봄 총회 및 학술대회 (Apr, 2016)
  57. [학술회의] 박정근, 김창구, 김준현, Effect of bias voltage on the angular dependence of SiO2 etch rates in fluorocarbon plasmas , 한국화학공학회 2016년 봄 총회 및 학술대회 (Apr, 2016)
  58. [학술회의] 김준현, 김창구, 박정근, Effect of O2 flow rates on the electrical properties of tin oxide films by LPCVD , 한국화학공학회 2015년 가을 학술대회 (Oct, 2015)
  59. [학술회의] 박정근, 김창구, 김준현, 강두원, 이경미, Control of the electrical resistivity of Ni-Fe wires for fusible resistors , 한국화학공학회 2015년 가을 학술대회 (Oct, 2015)
  60. [학술회의] 박정근, 김창구, 김준현, 강두원, 이경미, Effect of temperatures on the electrical resistivity of Ni-Cr wires , 한국화학공학회 2015년 가을 학술대회 (Oct, 2015)
  61. [학술회의] 김준현, 김창구, 조성운, Superhydrophobic wetting behaviour of Si surfaces having microrods , 한국화학공학회 2015년 가을 학술대회 (Oct, 2015)
  62. [학술회의] 박정근, 김창구, 김준현, 조성운, 강두원, 백창용, 이경미, Surface treatment to control the electrical resistivity of metal , 한국화학공학회 2015년도 봄 학술대회 (Apr, 2015)
  63. [학술회의] 김준현, 김창구, 조성운, Effect of bias voltage on the angular dependence of SiO2 etch rates in C4F8 plasmas , 한국화학공학회 2015년도 봄 학술대회 (Apr, 2015)
  64. [학술회의] 박정근, 김창구, 김준현, 조성운, Effect of gas composition on the angular dependence of SiO2 etch rates in fluorocarbon plasmas , 한국화학공학회 2015년도 봄 학술대회 (Apr, 2015)
  65. [학술회의] 조성운, 김창구, 김준현, A cyclic process to control the diameter of SiO2 contact holes , 한국화학공학회 2015년도 봄 학술대회 (Apr, 2015)
  66. [학술회의] 김준현, 김창구, 조성운, Angular dependence of Si3N4 etch rates in fluorocarbon plasmas , 한국화학공학회 2015년도 봄 학술대회 (Apr, 2015)
  67. [학술회의] 조성운, 김창구, 김준현, Etch mechanism of Si3N4 in a C4F6/Ar/O2/CH2F2 plasma , 한국화학공학회 2015년도 봄 학술대회 (Apr, 2015)
  68. [학술회의] 조성운, 김창구, 김준현, Directional slanted plasma etching of silicon under practical plasma processing conditions , 한국화학공학회 2014년 가을 학술대회 , pp.355 -355 (Oct, 2014)
  69. [학술회의] 김준현, 김창구, 조성운, Angular dependence of SiO2 etch rate in fluorocarbon plasmas , 한국화학공학회 2014년 가을 학술대회 , pp.341 -341 (Oct, 2014)
  70. [학술회의] 조성운, 김창구, Control of the contact hole diameter in C4F6/Ar/O2/CH2F2 plasmas , 한국화학공학회 2014년 가을 학술대회 , pp.126 -126 (Oct, 2014)
  71. [학술회의] 김준현, 김창구, 조성운, 강두원, 백창용, 이경미, LPCVD of tin oxide films: Effect of the substrate temperature on the electrical properties , 한국화학공학회 2014년 가을 학술대회 , pp.355 -355 (Oct, 2014)
  72. [학술회의] 조성운, 김창구, Effect of F/C ratio in discharge gases on the angular dependence of etch rates of SiO2 , 한국화학공학회 2014년 봄 학술대회 , pp.322 -322 (Apr, 2014)
  73. [학술회의] 김준현, 김창구, Dependence of the electrical properties of tin oxide films on the substrate temperature , 한국화학공학회 2014년 봄 학술대회 , pp.293 -293 (Apr, 2014)
  74. [학술회의] 조성운, 김창구, A novel process for the fabrication of three-dimensional Si nanostructures , 한국화학공학회 2014년 봄 학술대회 , pp.294 -294 (Apr, 2014)
  75. [학술회의] 김준현, 김창구, Experimental and computational analysis on the deposition of tin oxide films by LPCVD , 한국화학공학회 2014년 봄 학술대회 , pp.304 -304 (Apr, 2014)
  76. [학술회의] 이혜민, 김창구, 남궁윤미, Electrochemical analysis of manganese oxide supercapacitors in 1,3-alkylimidazolum-based ionic liquids , 한국화학공학회 2014년 봄 학술대회 , pp.309 -309 (Apr, 2014)
  77. [학술회의] 조성운, 김창구, Mechanism of SiO2 etching in fluorocarbon plasmas , 한국에너지공학회 2014년도 춘계학술발표회 , pp.144 -144 (Apr, 2014)
  78. [학술회의] 김준현, 김창구, Reaction kinetics of tin oxide films prepared by LPCVD , 한국에너지공학회 2014년도 춘계학술발표회 , pp.145 -145 (Apr, 2014)
  79. [학술회의] 조성운, 김창구, Slanted plasma etching for the fabrication of copper nanorods , 한국화학공학회 2013년도 봄 총회 및 학술대회 , pp.272 -272 (Apr, 2013)
  80. [학술회의] 김준현, 김창구, Kinetics and mechanisms for the deposition of tin oxide films by low pressure chemical vapor deposition , 한국화학공학회 2013년도 봄 총회 및 학술대회 , pp.274 -274 (Apr, 2013)
  81. [학술회의] 이진주, 김창구, 김준현, Low pressure chemical vapor deposition of tin oxide films and their morphological and electrical properties , 한국화학공학회 2013년도 봄 총회 및 학술대회 , pp.300 -300 (Apr, 2013)
  82. [학술회의] 이혜민, 김창구, Characterization of binary metal oxides electrodeposited on carbon sheets for supercapacitors , 한국전기화학회 2013년도 춘계총회 및 학술발표회 , pp.226 -226 (Apr, 2013)
  83. [학술회의] 이혜민, 김창구, Electrodeposition of binary metal oxides for supercapacitors , 한국전기화학회 2012년 추계 학술발표회 (Nov, 2012)
  84. [학술회의] 김준현, 김창구, 조성운, 강두원, 백창용, Reaction mechanism of tin oxide films deposited by low pressure chemical vapor deposition , 한국화학공학회 2012년 가을 학술대회 (Oct, 2012)
  85. [학술회의] 이진주, 김창구, 김준현, 조성운, Effect of the O2 flow rate on tin oxide films prepared by low pressure chemical vapor deposition , 한국화학공학회 2012년 가을 학술대회 (Oct, 2012)
  86. [학술회의] 김준현, 김창구, 조성운, Control of the roughness on the sidewall of Si trenches during the Bosch process , 한국화학공학회 2012년 봄 학술대회 (Apr, 2012)
  87. [학술회의] 조성운, 김창구, Fabrication of three dimensional Cu nanostructures , 한국화학공학회 2012년 봄 학술대회 (Apr, 2012)
  88. [학술회의] 조성운, 김창구, 김준현, Reduction of contact hole diameter by alternating etching and deposition using a fluorocarbon plasma , 한국화학공학회 2011년 가을 학술대회 (Oct, 2011)
  89. [학술회의] 서승혜, 김창구, 이혜민, Pseudocapacitive properties of electrodeposited Co/Mn composite oxides for electrochemical capacitors , 한국화학공학회 2011년 가을 학술대회 (Oct, 2011)
  90. [학술회의] 이혜민, 김창구, Effect of process variables on the electrodeposition of manganese-nickel oxide films for supercapacitors , 한국전기화학회 2011년 추계 학술발표대회 (Oct, 2011)
  91. [학술회의] 김준현, 김창구, 조성운, Control of the contact hole diameter using inductively coupled fluorocarbon and hydrocarbon plasmas , 한국화학공학회 2011년도 봄 학술대회 (Apr, 2011)
  92. [학술회의] 조성운, 김창구, The role of steady-state fluorocarbon film during SiO2 etching in C4F6/Ar/O2/CH2F2 plasmas , 한국화학공학회 2011년도 봄 학술대회 (Apr, 2011)
  93. [학술회의] 서승혜, 김창구, 이혜민, Preparation and characterization of electrodeposited Co-Mn composite oxide thin films for Pseudocapacitor application , 한국화학공학회 2011년도 봄 학술대회 (Apr, 2011)
  94. [학술회의] 이혜민, 김창구, 서승혜, Characterization of Mn-Ni oxides thin films electrodeposited on carbon sheet , 한국화학공학회 2011년도 봄 학술대회 (Apr, 2011)
  95. [학술회의] 신우식, 김창구, Control of RIE lag in Si etching , 한국화학공학회 2010년도 가을 학술대회 , pp.320 -320 (Oct, 2010)
  96. [학술회의] 조성운, 김창구, Effect of CH2F2 addition on angular dependence of SiO2 etching in a C4F6/O2/Ar plasma , 한국화학공학회 2010년도 가을 학술대회 (Oct, 2010)
  97. [학술회의] 손영선, 김창구, 서승혜, 이혜민, Corrosion behavior of CoWP films electrodeposited using alkali-free chemicals , 한국화학공학회 2010년도 가을 학술대회 , pp.326 -326 (Oct, 2010)
  98. [학술회의] 손영선, 김창구, 이혜민, Electrochemical characteristic of electrodeposited CoWP films , 한국화학공학회 2010년 봄 학술대회 (Apr, 2010)
  99. [학술회의] 서승혜, 김창구, S.G.Kandalkar, Preparation and characterization of chemically deposited Co-Ni composite oxide thin films for supercapacitor application , 한국화학공학회 2010년 봄 학술대회 (Apr, 2010)
  100. [학술회의] S.G.Kandalkar, 김창구, Porous nanostructured Co3O4/NiO composite electrode for supercapacitors , 한국화학공학회 2010년 봄 학술대회 (Apr, 2010)
  101. [학술회의] 이혜민, 김창구, Electroless plating of NiMoP capping layers using alkali-free chemicals , 한국화학공학회 2010년도 봄 학술대회 (Apr, 2010)
  102. [학술회의] 조성운, 김창구, Angular dependence of SiO2 etch rates in C4F6/Ar/O2 and C4F6/Ar/O2/CH2F2 plasmas , 한국화학공학회 2010년 봄 학술대회 (Apr, 2010)
  103. [학술회의] 김창구, 조성운, 문상흡, 이진관, Angular dependence of Si3N4 etch rates and SiO2-to-Si3N4 etch selectivity in a C4F6/Ar/O2/CH2F2 plasma , 한국화학공학회 2009년도 가을 학술대회 , Vol.x , No.x , pp.x -x (Oct, 2009)
  104. [학술회의] 김창구, 손영선, 이혜민, Shape and size control of platinum nanoparticles electrodeposited on graphite , 한국화학공학회 2009년도 가을 학술대회 , Vol.x , No.x , pp.x -x (Oct, 2009)
  105. [학술회의] 김창구, 손영선, 신우식, 이혜민, 지정민, Electrochemical analysis of CoWP thin films electrodeposited using alkali-free precursors , 한국화학공학회 2009년도 가을 학술대회 , Vol.x , No.x , pp.x -x (Oct, 2009)
  106. [학술회의] 김창구, 조성운, 지정민, Characteristics of fluorocarbon films deposited in perfluorocarbon and unsaturated fluorocarbon plasmas , 한국화학공학회 2009년 봄 학술대회 , pp.287 -287 (Apr, 2009)
  107. [학술회의] 김창구, 남궁윤미, 윤형진, Dulal, Electrodeposition and characterisation of amorphous NiMoP thin films , 한국화학공학회 2009년 봄 학술대회 , pp.322 -322 (Apr, 2009)
  108. [학술회의] 김창구, 신우식, 우상호, 김일욱, 엄평용, Characteristics of double cylindrical coils as an inductively coupled plasma source , 한국화학공학회 2009년 봄 학술대회 , pp.287 -287 (Apr, 2009)
  109. [학술회의] 김창구, 손영선, 이혜민, Dulal, Electrodeposition of CoWP Thin Films Using Alkali-Free Chemicals , 한국화학공학회 2009년 봄 학술대회 , pp.288 -288 (Apr, 2009)
  110. [학술회의] 김창구, 손영선, 이혜민, Dulal, Electrochemical deposition of platinum nanoparticles on graphite with Polyvinylpyrrolidone , 한국화학공학회 2009년 봄 학술대회 , pp.288 -288 (Apr, 2009)
  111. [학술회의] 김창구, 조성운, 지정민, Characteristics of deep Si etching using the advanced Bosch process in PFC- and UFC- containing plasmas , 한국화학공학회 2009년 봄 학술대회 , pp.287 -287 (Apr, 2009)
  112. [학술회의] 김창구, 손영선, 이혜민, Dulal, Electrodeposition of platinum nanoparticles on carbon supports with polyvinylpyrrolidone , 한국공업화학회 2009년 춘계 학술대회 , pp.119 -119 (Apr, 2009)
  113. [학술회의] 김창구, 손영선, 이혜민, Dulal, Effect of process variables on the properties of electrodeposited alkali-free CoWP films , 한국공업화학회 2009년 춘계 학술대회 , pp.111 -111 (Apr, 2009)
  114. [학술회의] 김창구, 권혁규, 지정민, Characteristics of fluorocarbon thin films deposited in C4F8 and C4F6 plasmas , 한국화학공학회 2008년 가을 학술대회 , Vol.x , No.x , pp.314 -314 (Oct, 2008)
  115. [학술회의] 김창구, 남궁윤미, 이혜민, Development of an alkali-free bath for the electrodeposition of Co-W-P thin films , 한국화학공학회 2008년 가을 학술대회 , Vol.x , No.x , pp.316 -316 (Oct, 2008)
  116. [학술회의] 김창구, 남궁윤미, 이혜민, Electrodeposition of nanostructured NiTi films , 한국화학공학회 2008년 가을 학술대회 , Vol.x , No.x , pp.334 -334 (Oct, 2008)
  117. [학술회의] 김창구, 권혁규, 지정민, Effects of power and pressure on the optical and electrical properties of fluorocarbon films , 한국화학공학회 2008년 가을 학술대회 , Vol.x , No.x , pp.314 -314 (Oct, 2008)
  118. [학술회의] 김창구, 남궁윤미, 이혜민, Behavior of the Potential and Current Density During Electrodeposition of CoWP Thin Films , 한국공업화학회 2008년 봄 학술대회 , Vol.0 , No.0 (May, 2008)
  119. [학술회의] 김창구, 남궁윤미, 이혜민, Electroless Plating of CoWP and NiMoP Films for Capping Layers in Cu Interconnection , 한국공업화학회 2008년 봄 학술대회 , Vol.0 , No.0 (May, 2008)
  120. [학술회의] 김창구, 권혁규, 박병훈, Optimization of Deep Si Etching Using SF6/C4F8 and SF6/C4F6 Plasmas , 한국공업화학회 2008년 봄 학술대회 , Vol.0 , No.0 (May, 2008)
  121. [학술회의] 김창구, 권혁규, 박병훈, Mahapatra, Insulating Properties of Fluorocarbon Films Deposited in C4F8 Plasmas , 한국공업화학회 2008년 봄 학술대회 , Vol.0 , No.0 (May, 2008)
  122. [학술회의] 김창구, 남궁윤미, Mahapatra, Dependence of magnetic properties of CoWP films on the electrolyte concentration , 한국화학공학회 2008년 봄 학술대회 , Vol.0 , No.0 (Apr, 2008)
  123. [학술회의] 김창구, 권혁규, 박병훈, 우상호, Deep Si Etching using SF6/C4F8 and SF6/C4F6 Plasmas , 한국화학공학회 2008년 봄 학술대회 , Vol.0 , No.0 (Apr, 2008)
  124. [학술회의] 김창구, 남궁윤미, 신치범, Effect of Potential and Current Density on CoWP Electrodespotion , 한국화학공학회 2008년 봄 학술대회 , Vol.0 , No.0 , pp.343 -343 (Apr, 2008)
  125. [학술회의] 김창구, 이혜민, Comparison of Electrolessly Plated CoWP and NiMoP Capping Layers Using Alkali-Free Chemicals , 한국화학공학회 2008년 봄 학술대회 , Vol.0 , No.0 (Apr, 2008)
  126. [학술회의] 김창구, 권혁규, 박병훈, Mahapatra, Electrical and Optical Properties of Fluorocarbon Films Deposited in C4F8 Plasmas , 한국화학공학회 2008년 봄 학술대회 , Vol.0 , No.0 (Apr, 2008)
  127. [학술회의] 김창구, 이혜민, Mahapatra, Size control of nano structured TiNi alloy by variation of the electrolyte concentration , 한국화학공학회 , Vol.0 , No.0 (Apr, 2008)
  128. [학술회의] 김창구, 권혁규, 우상호, 이형무, 김일욱, 김해원, 엄평용, 이동근, 조성길, 최형수, Structural and Morphongical Properties of Nitrogen Doped Polysilicon , 한국화학공학회 2007년 가을 학술대회 , Vol.x , No.x , pp.282 -282 (Oct, 2007)
  129. [학술회의] 김창구, 김태호, S.M.S.I. Dulal, Electroless Plating of CoWP Thin Films Using Alkali-Free Chemicals , 한국화학공학회 2007년 가을 학술대회 , Vol.x , No.x , pp.282 -282 (Oct, 2007)
  130. [학술회의] 김창구, 김태호, S.M.S.I.Dulal, Effects of pH and Temperature on Electroless Plating of CoWP Thin Films for Cu Interconnection , 한국화학공학회 2007년 가을 학술대회 , Vol.x , No.x , pp.190 -190 (Oct, 2007)
  131. [학술회의] 김창구, 남궁윤미, 윤형진, 이혜민, S.M.S.I.Dulal, Development of electrolytes for electrodeposition of NiMoP thin films , 한국화학공학회 2007년 가을학술대회 , Vol.x , No.x , pp.284 -284 (Oct, 2007)
  132. [학술회의] 김창구, 남궁윤미, 신치범, 윤형진, S.M.S.I.Dulal, Effect of Process Variables on Electrodeposition of NiMoP Films , 한국화학공학회 2007년 가을 학술대회 , Vol.x , No.x , pp.282 -282 (Oct, 2007)
  133. [학술회의] 김창구, 김현정, 박창한, 유재석, Experimental Determination of Thermal Conductivity of Multilayered Thin Films Using Photothermal Effect , 한국화학공학회 2007년 가을 학술대회 , Vol.x , No.x , pp.267 -267 (Oct, 2007)
  134. [학술회의] 김창구, 신치범, 윤형진, S.M.S.I.Dulal, Structural and Morphological Characterization of Electrodeposited CoWP Thin Films , 한국화학공학회 2007년 가을 학술대회 , Vol.x , No.x , pp.276 -276 (Oct, 2007)
  135. [학술회의] 김창구, 신치범, 윤형진, S.M.S.I.Dulal, Characterization of Corrosion Protective and Mechanical Properties of Electrodeposited CoWP Coatings , 한국화학공학회 2007년 가을 학술대회 , Vol.x , No.x , pp.188 -188 (Oct, 2007)
  136. [학술회의] 김창구, 권혁규, 우상호, 이형무, 김일욱, Investigation of Etch Characteristics of Deep Si Etching in PFC- and UFC-containing Plasmas , 한국화학공학회 2007년 가을 학술대회 , Vol.x , No.x , pp.287 -287 (Oct, 2007)
  137. [학술회의] 김창구, 권혁규, 남궁윤미, 윤형진, 이혜민, S.M.S.I.Dulal, Effect of Electrolyte Concentration on Electrodeposition of NiMoP Amorphous Thin Films , 한국전기화학회 2007년 추계학술대회 , Vol.x , No.x , pp.95 -95 (Oct, 2007)
  138. [학술회의] 김창구, 김태호, 박창한, 이형무, S.M.S.I.Dulal, Development of Electroless Plating of Capping Layers by Using Alkali-Free Chemicals , 한국전기화학회 2007년 추계학술대회 , Vol.x , No.x , pp.94 -94 (Oct, 2007)
  139. [학술회의] 김창구, 신치범, 윤형진, S.M.S.I.Dulal, Physical and Chemical Properties of CoWP Coatings Electrodeposited on Carbon Steel , 한국전기화학회 2007년 추계학술대회 , Vol.x , No.x , pp.104 -104 (Oct, 2007)
  140. [학술회의] 김창구, S.M.S.I.Dulal, 신치범, 윤형진, Electrodeposition of CoWP Diffusion Barrier Layer on Cu Substrate , 한국공업화학회 2007년 봄 학술대회 , Vol.x , No.x , pp.100 -100 (May, 2007)
  141. [학술회의] 김창구, 이형무, K.Nadiia, 강진영, 백승준, 오윤진, 정명기, 정찬화, 함승주, Separation of Nanoparticles in Nanochannels Using Electro-osmotic Force , 한국화학공학회 2007년 봄 학술대회 , Vol.x , No.x , pp.148 -148 (Apr, 2007)
  142. [학술회의] 김창구, S.M.S.I.Dulal, 신치범, 윤형진, Electrodeposition of CoWP Thin Films on Copper Line on p-type Silicon Wafer , 한국화학공학회 2007년 봄 학술대회 , Vol.x , No.x , pp.263 -263 (Apr, 2007)
  143. [학술회의] 김창구, 권혁규, 이형무, Characteristics of Polymer Films Deposited in PFC- and UFC-containing Plasmas During the Bosch Process , 한국화학공학회 2007년 봄 학술대회 , Vol.x , No.x , pp.273 -273 (Apr, 2007)
  144. [학술회의] 김창구, 이형무, Comparison of Etch Characteristics in Deep Si Etching Using PFC- and UFC-containing Plasmas , 한국화학공학회 2007년 봄 학술대회 , Vol.x , No.x , pp.267 -267 (Apr, 2007)
  145. [학술회의] 김창구, 김태호, 윤형진, Electroless Plating of Capping Layers for Copper Interconnection Using Alkali Metal-Free Chemicals , 한국화학공학회 2007년 봄 학술대회 , Vol.x , No.x , pp.264 -264 (Apr, 2007)
  146. [학술회의] 김창구, S.M.S.I.Dulal, 신치범, 윤형진, Electrochemical Deposition of Platinum Nanoparticles on Carbon Supports , 한국화학공학회 2007년 봄 학술대회 , Vol.x , No.x , pp.282 -282 (Apr, 2007)
  147. [학술회의] 김창구, S.M.S.I.Dulal, 신치범, 윤형진, Effect of Process Variables on the Properties of Electrodeposited CoWP Films , 한국화학공학회 2007년 봄 학술대회 , Vol.x , No.x , pp.271 -271 (Apr, 2007)
  148. [학술회의] 김창구, 남궁윤미, Electrodeposition of CoWB Films for Capping Layers in Cu Interconnections , 한국화학공학회 2007년 봄 학술대회 , Vol.x , No.x , pp.269 -269 (Apr, 2007)
  149. [학술회의] 김창구, 김현정, 박창한, 유재석, The Measurement of Thermal Properties for Thin Films Using Photothermal Effect , 한국화학공학회 2007년 봄 학술대회 , Vol.x , No.x , pp.252 -252 (Apr, 2007)
  150. [학술회의] 김창구, S.M.S.I.Dulal, 신치범, 윤형진, Electrodeposition of CoWP Diffusion Barrier Layer on Copper Interconnection Line , 한국전기화학회 2007년 춘계 학술발표회 , Vol.x , No.x , pp.145 -145 (Apr, 2007)
  151. [학술회의] 김창구, 박창한, 이형무, A Comparative Study on Deep Si Etching Using PFC- and UFC-Containing Plasmas , 한국공업화학회 2006년 가을 학술대회 , Vol.x , No.x , pp.x -x (Nov, 2006)
  152. [학술회의] 김창구, 김태호, 윤형진, Electroless Plating of Co-Alloy Capping Layers for Copper Interconnection , 한국공업화학회 2006년 가을 학술대회 , Vol.x , No.x , pp.x -x (Nov, 2006)
  153. [학술회의] 김창구, 박창한, 이형무, Environment-Friendly Plasma Etching of High Aspect Ratio Deep Si , 한국화학공학회 2006년 가을 학술대회 , Vol.x , No.x , pp.x -x (Oct, 2006)
  154. [학술회의] 김창구, 김태호, 윤형진, Electrolyte Development for Electroless Plating of Cladding Layers in Cu Interconnection , 한국화학공학회 2006년 가을 학술대회 , Vol.x , No.x , pp.x -x (Oct, 2006)
  155. [학술회의] 김창구, 김태호, 박창한, 신치범, 윤형진, 이형무, Effects of the ion mass and the ion incident angle on etch rates in atomic scale etching , 한국화학공학회 2006년 봄 학술대회 , Vol.x , No.x , pp.x -x (Apr, 2006)
  156. [학술회의] 김창구, 신치범, 김일욱, 류현규, Plasma Etching of Silicon Dioxide Contact Hole Using Low Global Warming Potential Gases , 한국에너지기후변화학회 2005년 추계학술대회 , Vol.x , No.x , pp.110 -114 (Dec, 2005)
  157. [학술회의] 김창구, 김태호, 신치범, 윤형진, 문상흡, 민재호, Atomic Scale Etching of Poly-Si in Inductively Coupled Ar and He Plasmas , 한국화학공학회 2005년도 가을 학술대회 , Vol.x , No.x , pp.x -x (Oct, 2005)
  158. [학술회의] 신치범, 김창구, 이대훈, 김성태, 정승면, 차량용 12-V 납축전지의 동적 거동 모델링 , 한국화학공학회 봄학술대회 , Vol.x , No.x , pp.x -x (Apr, 2005)
  159. [학술회의] 김창구, 신치범, 정희석, A Comparative Study on Atomic Layer Etching of Chlorinated-Silicon Surfaces in Argon and Helium Plasma , 2004년도 화학공학회/공업화학회 공동 학술대회 , pp.198 -198 (Oct, 2004)
  160. [학술회의] 김창구, 신치범, 정희석, 김일욱, 류현규, Etching of a 170 nm-diameter SiO2 Contact Hole in C4F6/O2/Ar Plasmas , 2nd Symposium for Nano-Chemical Processing , pp.45 (Jun, 2004)
  161. [학술회의] 신치범, 김창구, 이대훈, 김병우, 김성민, 이백행, 차량용 42V 전기공급 시스템을 위한 ultracapacitor의 열적 특성을 위한 모델링 , 한국화학공학회 2004년도 봄학술대회 , pp.153 (Apr, 2004)
  162. [학술회의] 김창구, 고은용, 신치범, 정희석, Silicon Surface Smoothing by Argon Cluster Impact , 한국화학공학회 2003년도 가을학술대회 , pp.203 -203 (Oct, 2003)
  163. [학술회의] 김창구, Interaction of a Plasma with Surface Topography , 2002 Fall Meeting , Vol.8 , No.2 , pp.4866 -4869 (Oct, 2002)
  164. [학술회의] 김창구, Energy and Angular Distributions of Ions Extracted from a Hole in Contact with a High Density Plasma , 제1회 나노화학공정 심포지움 및 제4회 CVD 심포지움 , Vol.1 , No.1 , pp.22 -25 (Jun, 2002)
특허 및 기타
  1. [특허] 김창구, 김준현, 유상현, 플라즈마 식각 방법 (등록) (12,334,359) (R0420US) (Jun, 2025)
  2. [특허] 김창구, 김준현, 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법 (등록) (12,278,093) (R0420US) (Apr, 2025)
  3. [특허] 김창구, 김준현, 박진수, 플라즈마 식각 방법 (등록) (12,278,111) (R0420US) (Apr, 2025)
  4. [특허] 김창구, 유상현, 이유종, 플라즈마 식각 방법 (등록) (10-2795963) (Apr, 2025)
  5. [특허] 김창구, 유상현, 플라즈마 식각 방법 (출원) (19/115,375) (R0420US) (Mar, 2025)
  6. [특허] 김창구, 유상현, 전동준, 헵타플루오로이소프로필 트리플루오로메틸 케톤을 포함하는 식각 가스 조성물 및 이를 사용한 플라즈마 식각 방법 (출원) (PCT/KR2025/002047) (Feb, 2025)
  7. [특허] 김창구, 김민욱, 유상현, 옥타플루오로사이클로펜텐을 포함하는 식각 가스 조성물 및 이를 사용한 플라즈마 식각 방법 (출원) (10-2025-0017945) (Feb, 2025)
  8. [특허] 김창구, 유상현, 전동준, 헥사플루오로벤젠을 포함하는 식각 가스 조성물 및 이를 사용한 플라즈마 식각 방법 (출원) (PCT/KR2025/002041) (Feb, 2025)
  9. [특허] 김창구, 김민욱, 유상현, 헥사플루오로프로필렌 옥사이드를 포함하는 식각 가스 조성물 및 이를 사용한 플라즈마 식각 방법 (출원) (10-2025-0016491) (Feb, 2025)
  10. [특허] 김창구, 김준현, 퍼플루오로프로필카비놀(Perfluoropropyl carbinol)을 이용한 플라즈마 식각 방법 (등록) (12,217,970) (R0420US) (Feb, 2025)
  11. [특허] 김창구, 유상현, 이유종, Heptafluoropropyl methyl ether를 이용한 비등방성 SiO2 contact hole 식각프로파일 구현 (출원) (18/997,923) (R0420US) (Jan, 2025)
  12. [특허] 김창구, 김준현, PIPVE(perfluoroisopropyl vinyl ether)를 이용한 플라즈마 식각 방법 (등록) (12,191,141) (R0420US) (Jan, 2025)
  13. [특허] 김창구, 박진수, 김준현, 플라즈마 식각 방법 (등록) (12,134,722) (R0420US) (Nov, 2024)
  14. [특허] 김창구, 유상현, 이유종, 헵타플루오로프로필 메틸 에테르와 헵타플루오로이소프로필 메틸 에테르를 이용한 플라즈마 식각 방법 (등록) (10-2717067) (Oct, 2024)
  15. [특허] 김희경, 강성운, 김승주, 김유권, 김창구, 김철호, 유상현, 이다영, 대기압 플라즈마를 이용한 지르코니아 소재의 표면개질 방법 (출원) (18/898,319) (R0420US) (Sep, 2024)
  16. [특허] 김희경, 강성운, 김승주, 김유권, 김창구, 김철호, 유상현, 이다영, 진공 플라즈마를 이용한 지르코니아 소재의 표면개질 방법 (출원) (18/898,326) (R0420US) (Sep, 2024)
  17. [특허] 김창구, 선은재, 유상현, 플라즈마 식각 방법 (등록) (10-2697865) (Aug, 2024)
  18. [특허] 김창구, 유상현, 전동준, 헥사플루오로벤젠을 포함하는 식각 가스 조성물 및 이를 사용한 플라즈마 식각 방법 (출원) (10-2024-0052605) (Apr, 2024)
  19. [특허] 김창구, 유상현, 전동준, 헵타플루오로이소프로필 트리플루오로메틸 케톤을 포함하는 식각 가스 조성물 및 이를 사용한 플라즈마 식각 방법 (출원) (10-2024-0052606) (Apr, 2024)
  20. [특허] 김창구, 선은재, 유상현, 플라즈마 식각 방법 (출원) (PCT/KR2023/017626) (Nov, 2023)
  21. [특허] 김창구, 김준현, 유상현, 구리 복합 구조체의 제조방법 및 이에 의해 제조된 구리 복합 구조체를 포함하는 에너지 저장 장치 및 라만 분광 기판 구조물 (출원) (18/288,502) (R0420US) (Oct, 2023)
  22. [특허] 김창구, 유상현, 플라즈마 식각 방법 (출원) (PCT/KR2023/015167) (Oct, 2023)
  23. [특허] 김철호, 강성운, 김승주, 김유권, 김창구, 김희경, 유상현, 이다영, 대기압 플라즈마를 이용한 지르코니아 소재의 표면개질 방법 (출원) (10-2023-0131180) (Sep, 2023)
  24. [특허] 김희경, 강성운, 김승주, 김유권, 김창구, 김철호, 유상현, 이다영, 진공 플라즈마를 이용한 지르코니아 소재의 표면개질 방법 (출원) (10-2023-0131181) (Sep, 2023)
  25. [특허] 김창구, 이혜민, 강두원, 김현창, 서지 흡수 장치의 제조방법 (등록) (11,764,547) (R0420US) (Sep, 2023)
  26. [특허] 김창구, 유상현, 이유종, 헵타플루오로프로필 메틸 에테르와 헵타플루오로이소프로필 메틸 에테르를 이용한 플라즈마 식각 방법 (출원) (PCT/KR2023/009992) (Jul, 2023)
  27. [특허] 김창구, 김준현, 저반사 구조물과 이의 제조방법, 이를 포함하는 태양전지 및 광학 필름 (등록) (11,681,078) (R0420US) (Jun, 2023)
  28. [특허] 김창구, 김준현, 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법 (등록) (10-2461689) (Oct, 2022)
  29. [특허] 김창구, 김준현, 유상현, 플라즈마 식각 방법 (등록) (10-2441772) (Sep, 2022)
  30. [특허] 김창구, 김준현, 유상현, 구리 복합 구조체의 제조방법 및 이에 의해 제조된 구리 복합 구조체를 포함하는 에너지 저장 장치 및 라만 분광 기판 구조물 (등록) (10-2411717) (Jun, 2022)
  31. [특허] 김창구, 김준현, 퍼플루오로프로필카비놀(Perfluoropropyl carbinol)을 이용한 플라즈마 식각 방법 (등록) (10-2388963) (Apr, 2022)
  32. [특허] 김창구, 김준현, PIPVE(perfluoroisopropyl vinyl ether)를 이용한 플라즈마 식각 방법 (등록) (10-2389081) (Apr, 2022)
  33. [특허] 김창구, 김준현, 저반사 구조물과 이의 제조방법, 이를 포함하는 태양전지 및 광학 필름 (등록) (11,300,711) (R0420US) (Apr, 2022)
  34. [특허] 김창구, 김준현, 유상현, 구리 복합 구조체의 제조방법 및 이에 의해 제조된 구리 복합 구조체를 포함하는 에너지 저장 장치 및 라만 분광 기판 구조물 (출원) (PCT/KR2022/003241) (Mar, 2022)
  35. [특허] 김창구, 김준현, 박진수, 플라즈마 식각 방법 (등록) (10-2328590) (Nov, 2021)
  36. [특허] 김창구, 김준현, 박진수, 플라즈마 식각 방법 (등록) (10-2327416) (Nov, 2021)
  37. [특허] 김창구, 김준현, 박진수, 플라즈마 식각 방법 (등록) (11,081,361) (R0420US) (Aug, 2021)
  38. [특허] 김창구, 김준현, 유상현, 플라즈마 식각 방법 (출원) (PCT/KR2021/009755) (Jul, 2021)
  39. [특허] 김창구, 이혜민, 강두원, 김현창, 서지 흡수 장치의 제조방법 (등록) (11,005,235) (R0420US) (May, 2021)
  40. [특허] 김창구, 김준현, 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법 (출원) (PCT/KR2021/002530) (Mar, 2021)
  41. [특허] 김창구, 김준현, 퍼플루오로프로필카비놀(Perfluoropropyl carbinol)을 이용한 플라즈마 식각 방법 (출원) (PCT/KR2021/002532) (Mar, 2021)
  42. [특허] 김창구, 김준현, PIPVE(perfluoroisopropyl vinyl ether)를 이용한 플라즈마 식각 방법 (출원) (PCT/KR2021/002053) (Feb, 2021)
  43. [특허] 김창구, 김준현, 박진수, 플라즈마 식각 방법 (등록) (10-2196809) (Dec, 2020)
  44. [특허] 김창구, 김준현, 박진수, 플라즈마 식각 방법 (등록) (10,865,343) (R0420US) (Dec, 2020)
  45. [특허] 김창구, 김준현, 저반사 구조물과 이의 제조방법, 이를 포함하는 태양전지 및 광학 필름 (등록) (10,690,811) (R0420US) (Jun, 2020)
  46. [특허] 김창구, 박진수, 김준현, 플라즈마 식각 방법 (출원) (PCT/KR2020/007073) (Jun, 2020)
  47. [특허] 김창구, 박진수, 김준현, 플라즈마 식각 방법 (출원) (PCT/KR2020/007074) (Jun, 2020)
  48. [특허] 김창구, 김준현, 박진수, 플라즈마 식각 방법 (등록) (10-2104240) (Apr, 2020)
  49. [특허] 김창구, 김준현, 박창진, 유전체 장벽 방전 시스템 (출원) (PCT/KR2019/005871) (May, 2019)
  50. [특허] 김창구, 박창진, 이혜민, 플렉시블 전극 제조방법 (등록) (10,199,226) (R0420US) (Feb, 2019)
  51. [특허] 김창구, 김준현, 저반사 구조물과 이의 제조방법, 이를 포함하는 태양전지 및 광학 필름 (등록) (10-1930640) (Dec, 2018)
  52. [특허] 김창구, 강두원, 이혜민, 김현창, 서지 흡수 장치 및 이의 제조방법 (등록) (10-1924261) (Nov, 2018)
  53. [특허] 김창구, 김가연, 김준현, 박창진, 유전체 장벽 방전 시스템 (출원) (10-2018-0093899) (Aug, 2018)
  54. [특허] 박정근, 김준현, 김창구, 박창진, 니켈계 금속 포일의 비저항 조절 방법 (등록) (10-1886963) (Aug, 2018)
  55. [특허] 김창구, 박창진, 이혜민, 플렉시블 전극 제조방법 (등록) (10-1819825) (Jan, 2018)
  56. [특허] 이혜민, 김창구, 서지 흡수 장치의 제조방법 (등록) (10-1812752) (Dec, 2017)
  57. [특허] 김창구, 김준현, 조성운, 초소수성 표면 형성 방법 (출원) (15/580,370) (R0420US) (Dec, 2017)
  58. [특허] 김창구, 김준현, 조성운, 플라즈마 가스를 사용한 실리콘 기판 식각방법 (출원) (15/529,298) (R0420US) (May, 2017)
  59. [특허] 김준현, 김창구, 박정근, 이중구조 산화주석 박막 및 이의 제조 방법 (등록) (10-1735999) (May, 2017)
  60. [특허] 이혜민, 김상욱, 김창구, 정경화, 전이금속 질화물 포함 전극재료의 제조방법 및 이에 의해 제조된 전극재료를 활물질로 포함하는 전기화학 장치 (등록) (10-1733912) (Apr, 2017)
  61. [특허] 김창구, 조성운, 경사 형태의 구리 나노 로드 제작방법 (등록) (9,493,345) (R0420US) (Nov, 2016)
  62. [특허] 김창구, 이혜민, 강두원, 김현창, 서지 흡수 장치의 제조방법 (출원) (PCT/KR2016/008795) (Aug, 2016)
  63. [특허] 김창구, 김준현, 박정근, 조성운, 초소수성 표면 형성 방법 (출원) (PCT/KR2016/006004) (Jun, 2016)
  64. [특허] 김창구, 김준현, 조성운, 플라즈마 가스를 사용한 실리콘 기판 식각방법 (등록) (10-1623654) (May, 2016)
  65. [특허] 김창구, 김준현, 옥승수, 조성운, 초소수성 표면 형성 방법 (등록) (10-1620786) (May, 2016)
  66. [특허] 이혜민, 김상욱, 김창구, 이희웅, 정경화, 2차원 템플레이트, 이의 제조 방법, 다공성 나노 시트, 이의 제조 방법 및 전극 구조체 (등록) (10-1601454) (Mar, 2016)
  67. [특허] 김창구, 이혜민, 강흥중, 권범진, 송찬주, 다성분계 금속 산화물을 포함하는 전극물질이 전착된 슈퍼커패시터용 전극 및 이의 제조방법 (등록) (10-1573780) (Nov, 2015)
  68. [특허] 김창구, 김준현, 조성운, 플라즈마 가스를 사용한 실리콘 기판 식각방법 (출원) (PCT/KR2015/012037) (Nov, 2015)
  69. [특허] 김창구, 김준현, 조성운, 강두원, 김현창, 백창용, 세라믹 기판 상에 산화주석 박막을 형성하는 방법 (등록) (10-1562134) (Oct, 2015)
  70. [특허] 김창구, 조성운, 3차원 형태의 구리 나노구조물 및 그 형성 방법 (등록) (9,139,914) (R0420US) (Sep, 2015)
  71. [특허] 김창구, 김준현, 조성운, 강두원, 강태헌, 김현창, 백창용, 안규진, 우창수, 이경미, 정종일, 진상준, 서지흡수기 제조방법 (등록) (I 496371) (R0420TW) (Aug, 2015)
  72. [특허] 김창구, 조성운, 플라즈마 식각을 이용한 원뿔형 나노 구조물 형성 방법 및 원뿔형 나노 구조물 (등록) (10-1539172) (Jul, 2015)
  73. [특허] 김창구, 조성운, 플라즈마 식각을 이용한 경사형태의 나노기둥 제작방법 (등록) (10-1533526) (Jun, 2015)
  74. [특허] 김창구, 조성운, 3차원 형태의 구리 나노구조물 및 그 형성 방법 (등록) (10-1509529) (Apr, 2015)
  75. [특허] 김창구, 김준현, 조성운, 강두원, 강태헌, 김현창, 백창용, 안규진, 우창수, 이경미, 정종일, 진상준, 서지흡수기 제조방법 (등록) (10-1501338) (Mar, 2015)
  76. [특허] 김창구, 김상욱, 이혜민, 정경화, 금속산화물-그래핀 나노복합체의 제조방법 및 금속산화물-그래핀 나노복합체를 이용한 전극 제조방법 (등록) (10-1466310) (Nov, 2014)
  77. [특허] 김창구, 이혜민, 권범진, 송찬주, 안영수, 슈퍼커패시터용 전극 및 이의 제조방법 (등록) (10-1424680) (Jul, 2014)
  78. [특허] 김창구, 조성운, 경사형태의 구리 나노로드 제작 방법 (등록) (10-1409387) (Jun, 2014)
  79. [특허] 김창구, 이혜민, 권범진, 송찬주, 안영수, 그라파이트에 금속 산화물이 전착된 슈퍼커패시터 전극의 제조방법 및 이를 이용한 슈퍼커패시터 (등록) (10-1391136) (Apr, 2014)
  80. [특허] 김창구, 조성운, 반도체 장치의 콘택홀 형성방법 (등록) (10-1263666) (May, 2013)
갤러리